Skip to main content
European Commission logo print header

Nanoresonators with Integrated circuitry for high sensitivity and high resolution mass detection

Deliverables

This result is related to the electrical characterization of the mass sensors performed through the CMOS circuitry. A complete electrical characterization of the sensor comprises the determination of operating input excitation and circuit polarization voltages, as well as the analysis of the readout signal in terms of voltage level, bandwidth, noise and stability. Excitation voltages have been determined by simultaneously analysing the electrical readout signal and the optical image of the oscillating cantilever. The optimal combination of dc and ac excitation voltages will give a maximum on the resonance peak in the electrical readout signal. Two main test criteria are used to prove that the electrical peak is not an artifact from the set-up: firstly resonance frequency should decrease linearly with the square power of the increasing dc voltage, secondly the electrical resonance peak should be almost coincident with the optically visible mechanical resonance of the cantilever. In order to quantify the mechanical frequency response of the cantilever and to get a more clear correlation to the electrical signal, a laser based readout system has been built. This system allows acquiring an optical signal directly related to the vibration amplitude of the cantilever, simultaneously to the electrical signal. A detailed comparison between both signals shows a delay of the mechanical resonance with respect to the electrical peak, which can be attributed to the influence of the parasitic capacitances parallel to the cantilever-driver transducer. The determination of the optimal polarization conditions are specially relevant when a voltage buffer amplifier is used. In this case, this condition is found by maximizing the ac level of the output readout electrical signal, obtained when the transducer is excited out of the resonance and only the capacitive current trough the static cantilever-driver capacitance is amplified by the CMOS circuitry. Once the input excitation and polarization conditions are found, then the output signal characteristics can be analysed. From this analysis the following parameters are obtained: - Resonance frequency as a function of the dc voltage (VDC). The natural resonance frequency of the cantilever can be determined by a linear fit of frequency values as a function of VDC2. - Voltage amplitude of the readout signal and bandwidth. These parameter are used to quantify if the circuitry has been damaged by the postproces of transducer nanofabrication. - Bandwidht and quality factor of the electrical resonat peak. This gives an idea of the parasitic capacitance parallel to the cantilever-driver. - Absolute change and slope (dF/df) of the phase at the resonance. This parameter is realted to the Q-factor and to the mass sensitivity of the sensor. The larger the Q-factor, the larger the dF/df and the smaller the detectable change in frequency related to a measured change in phase. - Noise and stability of the phase when the cantilever is excited at a constant frequency around the resonance. Both parameters will determine the minimum measurable change in the resonance frequency that can be detected through the corresponding change in phase detected when cantilever is excited at a constant frequency.
A CMOS compatible direct write laser lithography (DWL) technique has been developed for monolithic cantilever fabrication on CMOS substrates. DWL enables fast and flexible prototyping of sub-micrometer structures over cm2 areas. The technique is based on direct laser writing on substrates coated with a resist bi-layer consisting of poly(methyl methacrylate) (PMMA) on ZEP-resist. Laser writing evaporates the PMMA exposing the ZEP. A resist solvent is used to transfer the pattern down to the substrate. Metal lift-off is used for creating an Al mask for post-process reactive ion etching. % The developed laser lithography technique is compatible with resist exposure techniques such as electron beam lithography (EBL) and can also be combined with atomic force microscopy (AFM) based lithography. Hence delicate structures having nanometer dimensions can be defined by EBL or AFM, which can be connected to the macroscopic world by laser lithography. This could also reduce the fabrication costs in the production of CMOS integrated nano structures.
Cantilever resonators have been monolithically integrated on standard twin well 2-poly-silicon 2-metal CMOS chips using the developed post-process sequence. This enables us to fabricate and integrate mechanical structures having nanometer dimensions with standard microelectronics for possible biosensor applications. Furthermore, the process steps allows for low cost mass fabrication of compact “intelligent” nanosystems. Possible application areas lie within areas such as health care, environmental monitoring and electronic engineering (RF MEMS applications). Process: After CMOS fabrication, local openings are created in the top passivation layer, exposing the top poly-silicon layer of the CMOS. This poly-silicon layer is etched away and the bottom poly-silicon layer, which is used as the structural layer, is exposed. An Al pattern is defined on the poly-silicon using a combination of low energy electron beam lithography and direct write laser lithography. The Al is used as an etch mask for anisotropic reactive ion etching of the structural poly-silicon layer. HF etching of the underlying SiO2 layer releases the cantilever structures. A supportive photoresist coating is applied to circumvent stiction of the fabricated structures. The cantilever structures are dry-released by removing the photoresist using oxygen plasma ashing.
Fully integrated cantilever systems have been characterized using the assembled measurement set-up as described in section 2.1 (# 14). The vacuum operation shows that the fabricated cantilever systems work accordingly to the intentions of the project. The quality factor of cantilevers at 0,4 mbar was found to be roughly 5000, which is high considering that the structural layer is poly-silicon. The resonance frequency was 1.5 MHz. The stability of cantilever mass sensors has been determined in air and vacuum operation and a minimal mass sensitivity of the order of attogram has been demonstrated. During the achievement of these results a broad know-how has been gained on how to electrically connect and package MEMS/NEMS devices. This vital know-how will be used in future projects on MEMS/NEMS.
Several methods have been shown to be able to produce cantilever structures on CMOS chips i.e. EBL, AFM lithography and laser lithography. However, these are all serial and this would be a considerable limitation for industry production. NIL is inherently a parallel process. The objective here is to show that is possible to use nanoimprint lithography on a pre-processed CMOS chip. In our standard stamp manufacturing process we use thermally oxidized Si 1 wafers, with an oxide layer thickness of 300 to 800nm. Electron beam lithography (EBL) and a metal lift-off process defines the stamp pattern and creates an etch mask for the consequent reactive ion etching (RIE), yielding a structure height of 100 to 400nm. After this we apply an anti-sticking monolayer (F13-TCS) on the surface of the stamp by CVD and the stamp is ready to use. The alignment is made ex-situ in a Karl Suss (KS) contact mask alignment machine. It features micrometer screws and microscope with 50 and 200 times magnification. The precision is in the micrometer range. Some minor hardware modification has been done. An alignment process has been developed, which include e.g. transport from the KS to the NIL machine.
An atomic force microscope (AFM) is used as a nanometer-scale resolution tool for the characterization of the electromechanical behaviour of a resonant sub-micron cantilever. The cantilever is actuated electrostatically by applying DC and AC voltages from a driver electrode placed closely parallel to the cantilever. In order to minimize the interaction between AFM probe and the resonating transducer cantilever, the AFM is operated in a dynamic non-contact mode, using oscillation amplitudes corresponding to a low force regime. The dependence of the static cantilever deflection on DC voltage and of the oscillation amplitude on the frequency of the AC voltage is measured by this technique and the results are fitted by a simple non-linear electromechanical model. The method is found to be simple to use and non-destructive, and it allows to determine the frequency response of the cantilever with nanometer scale spatial resolution. The method can be applied to any movable mechanical micro/nano structure.
A measurement set-up has been designed and assembled for characterization of MEMS/NEMS devices. Simultaneous optical and electrical read-out can be achieved on MEMS/NEMS devices. In the designed chamber the pressure can be controlled between 0.01 mbar-1 atm, also the temperature can be controlled. Moreover, it is possible to insert different gases under controlled flow rates, and/or molecules in liquid or in gas phase. This is a vital requirement for fictionalisation of fragile NEMS devices or for more complex characterization biosensor applications. The developed system has attracted interest from biosensor researchers and could possible be commercialised.
There is a highly energized scientific and technological interest in the use of micro- and nanoelectromechanical systems. For instance, micro- and nanomechanical sensors together with very sensitive detection schemes have developed as a very exciting field to detect for example specific molecular interactions, cell adhesion and chemical gases. In order to be able to develop new products and devices based on nanostructures there is a need to investigate the material and mechanical properties at the nanoscale of such devices we have employed a measurement scheme using an AFM making it possible to unambiguously determine the mechanical properties of the nanocantilevers such as the spring constant, (k), as a function of both their length and the applied force. Hitherto, the interest and use of microcantilever’s mechanical properties have been concerned with small deflections whereas here a detailed investigation is presented of the behaviour of nanocantilevers in the regime of large deflections. The experimental setup to reveal the ductility and the mechanical properties of the fabricated cantilevers was based on an atomic force microscope (AFM), operating in contact mode. The AFM probe employed had a specified spring constant of 0.032N/m. The force conversion factor was determined by measurements on the bulk part of the Cr structure. By using this factor and adjusting the signal to the photodiode, the set point for the feedback loop in the AFM was chosen to correspond to a fixed force (around 8nN). The deflections of the Cr-cantilevers were then measured while scanning the probe along their length as illustrated in figure 1(b). Additional forces, (F), were then applied by changing the feedback set point, and the corresponding deflections were measured. This method enables the determination of the bending displacement of the cantilevers at varying distances from the base, as a function of applied force. We detect large deflections revealing a high ductility of the cantilevers. Within measurement accuracy, we could not observe any permanent deformation of the cantilevers after the various AFM measurements. The AFM probe will be in contact with the Cr-cantilever in each point l. This means that we get data for all lengths when scanning along the full length of 2µm. The method is very simple compared to traditional methods based on force activating needles arranged in a scanning electron microscope system where the static deflection of the cantilever is measured as a function of applied force. For a rectangular beam with one end fixed, the spring constant, k, can be determined by: K=F/z where F is the applied additional force, and z is the resulting deflection of the cantilever as a function.
This result comprises the design, fabrication and characterization of polysilicon cantilever array configurations, integrated with CMOS readout and control circuitry. Several array configurations have been designed and fabricated: 4 and 8 cantilevers defined in common and separated nanoareas, and connecting the cantilever and a detection electrode to the readout circuit. In all cases, the length and distribution of metal line connection between the array of cantilever-driver transducers and the readout circuitry, have been optimised to minimize the interface parasitic capacitance. The definition of the cantilever-driver arrays has been performed by UV lithography. As readout circuitry, a transimpedance configuration has been chosen in order to avoid the polarization nano-capacitor in the nano area and, consequently, minimize the distance between cantielevers into the array. Two different strategies for readout through transimpedance circuits have been designed and fabricated: - Direct connection from the components of the 4 cantilevers array to two independent readout transimpedance circuits (2 cantilevers to 1 circuit), - Digitally controlled multiplexed connection from the components of the 8 cantilevers array to a single transimpedance circuit. Also in this second option, special care has been taken on minimizing the interface parasitic capacitances. Simultaneous excitation and detection of two components of a 4 cantilevers array has been achieved. This has been possible by selecting and exciting two cantilevers connected to two independent readout circuits. Potential applications of such an integrated array configuration are: a) Multiple simultaneous detection of different species by specific fictionalisation of each component of the array. b) Optimisation of detection performance by differential detection. One of the cantilevers of the array remains not functionalised and is used as a resonant frequency reference, which is only sensitive to all common mode variations (temperature, humidity, etc.).
A mass sensor based on a resonating cantilever integrated monolithicaly with the CMOS readout circuitry has been achieved. Mass detection principle is based on the shift of the cantilever resonance frequency, produced when the mass to be measured is deposited in the moving end of the cantilever. The smaller the cantilever, the smaller its mass and, consequently, the larger its mass sensitivity. In this project, cantilevers with typical dimensions of 20 microns in length, 600 nm in thickness and 400 nm in width, give mass sensitivities in the ag/Hz range. Typical applications can be found in bio analysis: detection of single antibody-antigen interactions. Technology compatibilization of standard CMOS processes with non-standard nanolitography techniques has been demonstrated. This means that all the procedures for combining nanotransducers with readout control and processing microelectronic CMOS circuitry have been stabilised and tested. Thus, the sensitivity of the mass sensors or whatever sensor which sensitivity increases by scaling down dimensions, can be potentially improved by fabricating the transducer as small as the nanolithigraphic technique will allow. The electrostatic excitation and capacitive readout scheme used in this project has demonstrated to be the most simple technological option for monolithic integration of transducer and circuitry. The same strategy can be extended to the field of RF-MEMS, where low compliant mechanical resonators can be combined with microelectronic circuitry, to integrate blocs of communications systems like oscillators, switches, filters or mixers, that traditionally are implemented using electronic devices.
Four different models have been developed in order to describe the electromechanical behaviour of a resonating Cantilever excited electrostaticaly by a parallel Driver electrode (CD). All these models can describe the capacitive current signal provided by the cantilever-driver system, when the oscillation of the cantilever is excited by an AC voltage, and a DC voltage is applied between driver and cantilever. Starting from the most simple, we describe the main characteristics of the electromechanical models: - Linear RLC//C model: The model provides values of the discrete elements of a resonating equivalent circuit RLC in parallel with the static cantilever-driver capacitance. It is an small signal model, which is only valid for small amplitude oscillations of the cantilever. - Non-linear parallel plate approximation model: This is a large signal model valid for large amplitude oscillations. Approximations are made on considering that cantilever deflects parallel to the driver and that cantilever dynamics are reduced to a spring-mass system. - Non-linear linear cantilever deflection approximation model: It is also a large signal model that only differs from the previous one on considering that cantilever deflects with a straight profile. This approximation gives a more realistic collapse prediction and allows analysing the effects on the position, shape and length of the driver coupling. - Non-linear real curved cantilever deflection approximation model: It has the same characteristics of the two previous models but it gives a more real deflection profile of the cantilever and, as consequence, it describes more accurately both the static and dynamic behaviour of the cantilever-driver transducer. In general, the first model is valid for stiff cantilevers which will vibrate with small amplitudes. The second and the fourth Models are specially appointed for soft cantilevers. All the models describe the capacitive current provided by the CD. Besides, last three models give information on mechanical magnitudes as cantilever deflection, electrostatic force or velocity, predicts non-linear effects like collapse or electrostatic spring constant softening and can be implemented on a SPICE-like simulator, giving the opportunity to simulate the behaviour of the CD transducer together with electronic circuitry.
Ultrathin metallic cantilevers having widths in the nanoscale may be employed as extremely sensitive mass sensors with possible single molecular detection ability. However, very few experiments have been performed with cantilevers at this scale. In order to fully take advantage of the properties when the dimensions are in the nanoscale, careful investigations of such properties have to be undertaken. The aim of this work is to investigate thin chromium cantilevers with sub-90 nanometer thickness, and length up to 3 µm. The nanocantilevers were made by electron beam lithography (EBL), metal lift off, and subsequent reactive ion etching (RIE). Alternatively, a nanoimprint lithography process may be applied involving printing a pattern into a double resist layer scheme and subsequent metal lift-off. A continuous determination of the local mechanical properties at all lengths was accomplished by employing an atomic force microscope, (AFM), operated in contact mode and by applying incremental forces along the length of the cantilevers. As the AFM probe was scanned along the length of the cantilever, the total bending displacement, (z), of the cantilevers at varying distances from the base, (L), was monitored. By applying incremental forces, (F), the corresponding change in deflection was measured. This method enables the determination of the bending displacement of the cantilevers at varying distances from the base, as a function of applied force. For a rectangular beam with one end fixed, the difference in deflection, z, can according to classical mechanics be determined by: z=F(L)^3/3E F is the force increment, L is the cantilever length, E is the Young’s modulus of elasticity, and I is the moment of inertia. The result show that the cantilevers deflect more than anticipated compared to calculated deflections, using eq. 1, and the Young’s modulus for bulk chromium of 248GPa. Further, the thinner cantilevers appear more soft than the thicker ones, indicating an obvious size effect of Young’s modulus. It is clear that such an effect will have important implications on the performance of nanoelectromechanical devices when they become very thin. [1] S. G. Nilsson, E. -L. Sarwe, and L. Montelius, Appl. Phys. Lett. 83, 990 (2003). [2] S. G. Nilsson, X. Borrisé, and L. Montelius, to appear in Appl. Phys. Lett. Oct. 18 (2004).
A cantilever can be used as a universal platform for sensing applications, especially as a mass detector. An added mass to the cantilever will be registered as a change in deflection or a shift in resonance frequency. By decreasing the dimensions of the cantilever the sensitivity, of the Nano Electro Mechanical System (NEMS), can be increased to the point where it is possible to perform single molecule detection. Using nanocantilevers, however, will make optical read-out impossible. A viable alternative is capacitive read-out, and a prerequisite for this is to integrate electronics and the cantilever in order to minimize parasitic capacitances. This is the goal of the NANOMASS project. In the Nanomass project the electronic chips are manufactured by standard CMOS technology and in the last step the cantilever is made in a pre-defined nanoarea. It is electrostatically excited and oscillates laterally, the width of the cantilever is about 500nm as well as the distance to the driver. The structures need to be made from conducting material, this can be either metal or silicon, and in both cases an etching process follows a metal deposition. The structures can be made by a variety of methods, here we suggest nanoimprint lithography (NIL) as a fast and parallel approach. This is a challenge since both alignment and imprint over topography is required. We use an external optical alignment process. This means that a transparent stamp is needed, the material we choose was fused silica. To accommodate the topography we use a mesa on the stamp. This is done by EBL patterning in a negative resist followed a buffered HF etch. Then an etch mask for the cantilever structure is created, again using EBL. RIE is used for etching out the final structure after which an anti-sticking layer is deposited before printing For the lift-off process a bi-layer resist scheme is used, with PMMA on LOR (lift off resist from Shipley). Alignment is done in a Karl Suss contact mask UV lithography machine. The stamp/substrate package is moved into the NIL machines and printed. Imprint parameters are; temperature 200°C, pressure 50bar and hold time 3min. Oxygen ashing is used to remove the remaining residual PMMA layer. The LOR is selectively removed with MF 319. After metal evaportation lift-off is done in Remover S-1165.
A nano-resonator system has been fabricated directly on a pre-processed CMOS chip. The system is to be used for high sensitivity mass sensing applications in air and vacuum. The resonator system, corresponding of a cantilever and structures for electrostatic actuation and capacitive read-out, have been defined by electron beam lithography on top of a charge and radiation sensitive CMOS layer in predefined areas as a post-process step, without affecting the pre-processed CMOS circuits. The subsequent etching steps to fully release the cantilevers have been obtained without stiction to the substrate. Cantilevers are driven at their mechanical resonance in a lateral mode, and the frequency is monitored by capacitive read-out on the chip. CMOS integration enables signal detection directly on the chip, which radically decreases the parasitic capacitances. Consequently, low-noise electrical measurements with a very high mass sensitivity are obtained. Fabricated resonator systems were characterized to have resonance frequencies of approximately 1.49MHz, which is in good agreement with a theoretical estimation of 1.41MHz. The theoretical mass resolution, m/?f, is approximately 17ag/Hz, using a Young’s modulus value of 160GPa. The fabrication of the mechanical cantilever is performed as a post process module on pre-fabricated CMOS chips. Cantilevers are fabricated out of the bottom poly-Si layer (defined as the nanoarea). The poly-Si thickness is roughly 600 nm and underneath is a 1 m thick sacrificial SiO2 layer. A double layer positive resist system is deposited on the CMOS chips by spin coating. The bottom layer consists of approximately 170 nm of ZEP-520A7 and the top layer consists of 70-80nm of PMMA 950 A4. Following the EBL exposure, the resists are first developed in a mixture of methylisobutylketone/isopropanol (MIBK:IPA; 1:3) and then in o-xylene with a final rinse in IPA. Then a 30 nm thick Al layer is thermally evaporated and lifted-off to be served as an etching mask. After the lift-off, anisotropic reactive ion etching (RIE) is performed in order to transfer the EBL made pattern to the structural poly-Si layer. The anisotropy is achieved with SF6:O2 plasma. After structural patterning, the poly-Si structures are to be released from the substrate by an isotropic wet etch of the SiO2 layer, using buffered hydrofluoric acid (BHF). First, the CMOS chips are spin-coated with 2.5 m of the photo resist AZ 5214E. The photo resist acts as a protection mask for the CMOS circuitry against the BHF. Next, ultra violet lithography (UVL) is used to create windows over the structural areas after which the chips are placed in BHF. Finally, the chips are thoroughly rinsed in de-ionized water followed by a dry release sequence. In order to avoid stiction of processed nanocantilevers to the substrate or other surfaces, a dry release process is used. The dry release method is based on solidification of a supportive photoresist film followed by oxygen plasma removal. During rinsing of the BHF the de-ionized water is replaced by acetone, which dissolves the resist protection mask. Stiction is prevented by gradual substitution of the acetone with standard photo resist until the liquid covering the sample is concentrated resist. The resist covered sample is then spin-coated and soft-baked, resulting in a resist layer fully encapsulating the suspended nanocantilevers. The cantilevers are dry released using oxygen plasma ashing. This circumvents the process related stiction for releasing suspended nanostructures since there is a direct transition from solid state to gas state without the possibility of meniscus formation.
This result concerns the design and test of all readout circuits for detection, conditioning and amplification of the electrical signal generated by the cantilever-driver transducer on the mass sensor. One of the main specifications of the design requiered to allow the detection of small currents coming from the nanocantilver-driver transducer, is the reduction of the capacitance associated to the connection interface betweeen transducer and circuitry. Such capacitance has been minimized by optimizing the dimensions of the metal line connnections and choosing conveniently the arquitecture of the input stage. Two general different designs have been implemeted: - Current amplifiers or transimpedance amplifiers are based on an operational amplifier with a resistive feedback, commonly called feedback ammeters. The main advantage of this arquitecture is that the effect of the interface parasitic capacitance is negligible by virtually grounding it through the operational amplifier. - Buffer amplifiers are based on the amplification of the ac voltage generated at the capacitnace divider formed by the cantilever-driver resonant capacitance and the interface parasitic capacitance. These circuits are based on a buffer amplifier biased as source-follower (common drain configuration) and a voltage follower, introduced as an output stage for driving the output pad and input electrical test equipment capacitance. The polarization of this circuit is achieved through a capacitance coupled to the circuit input by a comb structure. This polarization capacitance is implemented in the same nanolithography process used to define the cantilever-driver transducer. Autopolarizations strategies based on a feedback path between output and input through a diode have been also implemented. Both kinds of circuits allow to detect and amplify currents generated at the integrated cantilever-driver transducer of around 10-100 nA in a bandwidth of 1MHz.Additonal circuitry for phase detection has been also designed and tested in order to increase the functionality of the whole sensor system. Phase detection allows to externaly close the loop and implement a system for tracking the resonance frequency changes of the cantilever.
Ultra thin Al nanocantilevers have been fabricated and characterized for mass sensing applications. The length of the cantilevers were 5 m, the width is 400 nm and the thickness is 100 nm. The cantilevers are fabricated by using a negative ultra violet lithography step. The cantilever is actuated into vertical resonance by applying an oscillating electrostatic force between the cantilever and a parallel electrode. The cantilevers have been characterized inside a scanning electron microscope and a mass sensitivity of the order of 10-18g/Hz. The fabrication technique is a low-cost, parallel, fabrication technique that also is CMOS compatible. The cantilever thickness can easily be controlled at the nanometer scale. Furthermore, Al is shown to have just as high mass sensitivity as single crystal silicon cantilevers and could hence be used for high sensitivity mass sensing applications.
The mass of a 40fg glycerine drop has been measured by using an e-beam defined 20 mm long, 500nm wide and 600nm thick nano-cantilever operated in air. The drop has been locally deposited at the free nanocantilever end by means of an STM gold tip. Resonant frequency has been measured right before and after drop deposition through the CMOS readout circuitry, giving a decreasing of 14.8kHz. From this frequency shift and from the mass of the drop a mass sensitivity of approximately 3ag/Hz has been experimentally determined. Potential near future projection and applications of this result goes in two directions: - Operation of the sensor in vacuum will increase the Q factor and consequently the phase/frequency slope, giving to an increase on frequency shift sensitivity. Improvements of Q-factor from 25 in air to 5200 below 0.5mbar have been demonstrated. - Local deposition of glycerine using an STM tip opens the possibility to functionalise the active free end of the cantilever by using other viscous liquid phase components.
An Atomic Force Microscope (AFM) is used for defining nanometer scale structures integrated in CMOS circuits. The CMOS circuits are fabricated using SOI wafers as starting material. The CMOS circuit is fabricated in the silicon bottom layer and the mechanical structure is fabricated in the top SOI layer. The nanomechanical structure fabrication process starts after completing the CMOS circuit fabrication. A specific cleaning process of the area where the nanomehcanicl structure will be defined has been developed to ensure a low surface roughness and lack of contaminant particles. After the cleaning process, the surface is covered with a thin layer of aluminium, which is locally oxidized by the tip of the AFM using AFM local oxidation nanolithography. The non-oxidized aluminium is removed, and the reaming aluminium oxide is used as an etching mask for reactive ion etching of the silicon. Finally, the moveable part of the mechanical structure is released by un under-etching of the silicon oxide. The methods can be applied in general for defining nanostructures on pre-processed CMOS substrates.
A dry release method for fabrication of suspended nanostructures has been developed. The developed process has increased the throughput of functional cantilever devices. The process combines a dry release method, using a supporting layer of photoresist which is removed using oxygen ashing in a reactive ion etcher, with CHF3 plasma induced deposition of an fluorocarbon film acting as an anti-stiction coating. All in a single RIE sequence. The dry release process is contamination free and batch process compatible. Furthermore, the technique enables long time storage and transportation of produced devices without the risk of stiction. By combining the dry release method with a plasma deposited anti-stiction coating both fabrication induced stiction, which is mainly caused by capillary forces originating from the dehydration of meniscuses formed between suspended structures and the substrate during processing, as well as in-use stiction, occurring during mechanical operation of the system, are avoided.

Searching for OpenAIRE data...

There was an error trying to search data from OpenAIRE

No results available