Skip to main content
European Commission logo print header

Ultrapure Water Technology - nanoparticle free water for the advanced nanoelectronics industry enabling further miniaturization of electronic devices

Objectif

The ULTRAWAT project will commercialize a new advanced technology system, proven to remove sub-20 nanoparticles from ultrapure nanoelectronic process water and from industrial waste water. Type 1 Ultrapure Water (UPW) is water treated to highest levels of purity. It is used in the nanoelectronics industry for surface treatment of microchips. Sub-20 nm particles are called ”killer particles”. They are difficult to remove and can cause damage to advanced devices by causing short circuits. Removing killer particles from process water will enable further miniaturization of electronic devices.
The global consumption of UPW in nanoelectronics industry is estimated at 2000 billion litres per year. State-of-the-art UPW systems cannot remove sub-20 nanoparticles efficiently and reuse both the water and valuable minerals and chemicals. Recognizing a significant business opportunity, XZERO has developed a new Key Enabling Technology for the complete removal of “killer particles”. The technology enables Zero Liquid Discharge. ZLD saves large quantities of water, enables the extraction of valuable materials and stops dangerous contaminants from polluting the environment.
The targeted users are water system integrators (WSI) and nanoelectronics manufacturers. WSIs will get access to innovative technology that will boost the added value of their systems. Nanoelectronics manufacturers will remove the threat to profitable manufacture from nanoparticles and minimize their environmental footprint. XZERO’s crucial strategy is to capitalize on this strong industrial need. We acquired huge commercial interest.
The project is aimed at turning tested prototypes [TRL 6] into fully functional commercial systems [TRL 9] that can be easily deployed and integrated with production equipment in the nanoelectronics industry. The technology has a potential to boost the development of the nanoelectronics sector in Europe that is striving to reclaim its position among world leaders.

Appel à propositions

H2020-EIC-SMEInst-2018-2020

Voir d’autres projets de cet appel

Sous appel

H2020-SMEInst-2018-2020-2

Régime de financement

SME-2 - SME instrument phase 2

Coordinateur

XZERO AB
Contribution nette de l'UE
€ 1 299 182,50
Adresse
BRYGGERIVAGEN 12A
168 67 BROMMA
Suède

Voir sur la carte

PME

L’entreprise s’est définie comme une PME (petite et moyenne entreprise) au moment de la signature de la convention de subvention.

Oui
Région
Östra Sverige Stockholm Stockholms län
Type d’activité
Private for-profit entities (excluding Higher or Secondary Education Establishments)
Liens
Coût total
€ 1 855 975,00