CORDIS - Forschungsergebnisse der EU
CORDIS
Inhalt archiviert am 2024-05-27

Nanoresonators with Integrated circuitry for high sensitivity and high resolution mass detection

Article Category

Article available in the following languages:

Massen messende Nano-Cantilever auf einem Chip

Da die Miniaturisierung der Abmessungen von Cantilevern die Massenauflösung sowie die räumliche Auflösung von Massenresonanzsensoren der Cantileverbauweise verbessert, wurde eine spezielle Herstellungsmethode für Cantilever im Nanomaßstab eingeführt.

Industrielle Technologien icon Industrielle Technologien

Die Massendetektion basiert auf der Registrierung einer Resonanzfrequenzverschiebung, wenn Partikel im Nanometermaßstab auf dem Cantilever platziert werden, der elektronisch durch eine Elektrode angeregt wird. Der Abstand der Elektrode liegt im Submillimeterbereich. Jedoch ist die Stärke des Stroms, der detektiert werden muss, proportional zur kapazitiven Kopplung zwischen dem Cantilever und dem Mitnehmer. Um die störende Kapazität, die durch externe Anschlüsse und Kabel eingebracht wird, zu eliminieren, wurden auf Silizium basierende Cantileverresonatoren monolithisch mit Signal verarbeitenden CMOS-Schaltkreisen (Complementary Metal Oxide Semiconductor, komplementäre Metall-Oxid-Halbleiter) zusammengeführt. Im Rahmen des Projekts NANOMASS II wurde insbesondere eine Methodik entwickelt, um herkömmliche CMOS-Technologien mit Nanofabrikationstechniken von Resonanzcantilevern zu kombinieren. An den Forschungseinrichtungen der Dänischen Technischen Universität (DTU) wurden CMOS-Schaltkreisen in Nanocantilever integriert, indem ein CMOS-Chip modifiziert wurde. Lokale Öffnungen wurden in der oberen Passivierungsschicht des CMOS erstellt. Die obere polykristalline Siliziumschicht wurde durch Ätzen entfernt, sodass die 100nm dünne thermische Oxidschicht zum Vorscheinen kam. Der nächste Schritt bestand darin, eine dünne Aluminiumschicht (Al) auf dem Oxid abzuscheiden, die dann als Ätzmaske verwendet wurde, um die Struktur der Cantilever auf die untere Schicht des polykristallinen Siliziums der CMOS zu transferieren. Um das Muster der Cantilever zu definieren, wurde die niederenergetische Elektronenstrahllithografie mit der Direct Write Laserlithografie (DWL) kombiniert, welche zu einer bedeutenden Senkung der Herstellungskosten führte. Bevor der Release-Ätzschritt des Cantilevers in gepufferter Flusssäure (BHF) durchgeführt wurde, wurde eine fotoresistente Beschichtung aufgetragen, die die Struktur unterstützen soll. Zudem wurde eine Mündung über die hergestellten Strukturen angebracht, um die Haftreibung zu eliminieren. Die Cantilever, die sich in ihren Abmessungen der Korngröße des polykristallinen Siliziums annähern, werden schließlich nach dem Sauerstoff-Plasmaätzen trockengeätzt. Der Versuch einer Optimierung der Korngröße des polykristallinen Siliziums wurde von Projektpartnern des Projekts NANOMASS II unternommen, es stellte sich aber heraus, dass es nicht möglich war, die Struktur weiter zu verbessern. Der Grund hierfür lag in Beschränkungen des Fertigungsverfahrens der CMOS. Eine weitere Miniaturisierung wird auf alternativen Materialien für Cantilever basieren, wie zum Beispiel auf amorphen Metallen oder monokristallinem Silizium.

Entdecken Sie Artikel in demselben Anwendungsbereich