CORDIS - Wyniki badań wspieranych przez UE
CORDIS

Exploiting eXascale Technology with Reconfigurable Architectures

Rezultaty

Project Handbook, e-mail reflector, web site and FTP site

Writing of the project Handbook setting up an email reflector web site and FTP site

Publikacje

Optimizing streaming stencil time-step designs via FPGA floorplanning

Autorzy: Marco Rabozzi, Giuseppe Natale, Biagio Festa, Antonio Miele, Marco D. Santambrogio
Opublikowane w: 2017 27th International Conference on Field Programmable Logic and Applications (FPL), 2017, Strona(/y) 1-4, ISBN 978-9-0903-0428-1
Wydawca: IEEE
DOI: 10.23919/FPL.2017.8056764

FPGA-based PairHMM Forward Algorithm for DNA Variant Calling

Autorzy: Davide Sampietro, Chiara Crippa, Lorenzo Di Tucci, Emanuele Del Sozzo, Marco D. Santambrogio
Opublikowane w: 2018 IEEE 29th International Conference on Application-specific Systems, Architectures and Processors (ASAP), 2018, Strona(/y) 1-8, ISBN 978-1-5386-7479-6
Wydawca: IEEE
DOI: 10.1109/ASAP.2018.8445119

Heterogeneous exascale supercomputing: The role of CAD in the exaFPGA project

Autorzy: M. Rabozzi, G. Natale, E. Del Sozzo, A. Scolari, L. Stornaiuolo, M. D. Santambrogio
Opublikowane w: Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017, 2017, Strona(/y) 410-415, ISBN 978-3-9815370-8-6
Wydawca: IEEE
DOI: 10.23919/DATE.2017.7927025

CGRA Tool Flow for Fast Run-Time Reconfiguration

Autorzy: Florian Fricke, André Werner, Keyvan Shahin, Michael Huebner
Opublikowane w: Proc. of the 14th International Symposium on Reconfigurable Computing: Architectures, Tools, and Applications (ARC), 2018, Strona(/y) 661-672
Wydawca: Springer International Publishing
DOI: 10.1007/978-3-319-78890-6_53

Superimposed in-circuit debugging for self-healing FPGA overlays

Autorzy: Alexandra Kourfali, Dirk Stroobandt
Opublikowane w: 2018 IEEE 19th Latin-American Test Symposium (LATS), 2018, Strona(/y) 1-6, ISBN 978-1-5386-1472-3
Wydawca: IEEE
DOI: 10.1109/LATW.2018.8349688

Tool flow for automatic generation of architectures and test-cases to enable the evaluation of CGRAs in the context of HPC applications

Autorzy: Florian Fricke, Andre Werner, Michael Hubner
Opublikowane w: 2017 Conference on Design and Architectures for Signal and Image Processing (DASIP), 2017, Strona(/y) 1-2, ISBN 978-1-5386-3534-6
Wydawca: IEEE
DOI: 10.1109/DASIP.2017.8122124

Hardware Compilation of Deep Neural Networks: An Overview

Autorzy: Ruizhe Zhao, Shuanglong Liu, Ho-Cheung Ng, Erwei Wang, James J. Davis, Xinyu Niu, Xiwei Wang, Huifeng Shi, George A. Constantinides, Peter Y. K. Cheung, Wayne Luk
Opublikowane w: 2018 IEEE 29th International Conference on Application-specific Systems, Architectures and Processors (ASAP), 2018, Strona(/y) 1-8, ISBN 978-1-5386-7479-6
Wydawca: IEEE
DOI: 10.1109/ASAP.2018.8445088

SICTA: A superimposed in-circuit fault tolerant architecture for SRAM-based FPGAs

Autorzy: Alexandra Kourfali, Amit Kulkarni, Dirk Stroobandt
Opublikowane w: 2017 IEEE 23rd International Symposium on On-Line Testing and Robust System Design (IOLTS), 2017, Strona(/y) 5-8, ISBN 978-1-5386-0352-9
Wydawca: IEEE
DOI: 10.1109/IOLTS.2017.8046168

ADAM - Automated Design Analysis and Merging for Speeding up FPGA Development

Autorzy: Ho-Cheung Ng, Shuanglong Liu, Wayne Luk
Opublikowane w: Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays - FPGA '18, 2018, Strona(/y) 189-198, ISBN 9781-450356145
Wydawca: ACM Press
DOI: 10.1145/3174243.3174247

Hierarchical force-based block spreading for analytical FPGA placement

Autorzy: Dries Vercruyce, Elias Vansteenkiste, Dirk Stroobandt
Opublikowane w: FPL 2018, 2018
Wydawca: IEEE

The Role of CAD Frameworks in Heterogeneous FPGA-Based Cloud Systems

Autorzy: Lorenzo Di Tucci, Marco Rabozzi, Luca Stornaiuolo, Marco D. Santambrogio
Opublikowane w: 2017 IEEE International Conference on Computer Design (ICCD), 2017, Strona(/y) 423-426, ISBN 978-1-5386-2254-4
Wydawca: IEEE
DOI: 10.1109/ICCD.2017.74

CRRS: Custom Regression and Regularisation Solver for Large-scale Linear Systems,

Autorzy: A.-I. Cross, L. Guo, W. Luk and M. Salmon
Opublikowane w: International Conference on Field-Programmable Logic and Applications, 2018
Wydawca: IEEE

A NoC-based custom FPGA configuration memory architecture for ultra-fast micro-reconfiguration

Autorzy: Amit Kulkarni, Poona Bahrebar, Dirk Stroobandt, Giulio Stramondo, Catalin Bogdan Ciobanu, Ana Lucia Varbanescu
Opublikowane w: 2017 International Conference on Field Programmable Technology (ICFPT), 2017, Strona(/y) 203-206, ISBN 978-1-5386-2656-6
Wydawca: IEEE
DOI: 10.1109/FPT.2017.8280141

An open reconfigurable research platform as stepping stone to exascale high-performance computing

Autorzy: Dirk Stroobandt, Catalin Bogdan Ciobanu, Marco D. Santambrogio, Gabriel Figueiredo, Andreas Brokalakis, Dionisios Pnevmatikatos, Michael Huebner, Tobias Becker, Alex J. W. Thom
Opublikowane w: Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017, 2017, Strona(/y) 416-421, ISBN 978-3-9815370-8-6
Wydawca: IEEE
DOI: 10.23919/DATE.2017.7927026

Towards Application-Centric Parallel Memories

Autorzy: Giulio Stramondo, Catalin Bogdan Ciobanu, Ana Lucia Varbanescu and Cees De Laat
Opublikowane w: EuroPar Workshops 2018 -- HeteroPar'18, 2018
Wydawca: IEEE

From Tensor Algebra to Hardware Accelerators: Generating Streaming Architectures for Solving Partial Differential Equations

Autorzy: Francis P. Russell, James Stanley Targett, Wayne Luk
Opublikowane w: 2018 IEEE 29th International Conference on Application-specific Systems, Architectures and Processors (ASAP), 2018, Strona(/y) 1-8, ISBN 978-1-5386-7479-6
Wydawca: IEEE
DOI: 10.1109/ASAP.2018.8445093

A Parallel, Energy Efficient Hardware Architecture for the merAligner on FPGA Using Chisel HCL

Autorzy: Lorenzo Di Tucci, Davide Conficconi, Alessandro Comodi, Steven Hofmeyr, David Donofrio, Marco D. Santambrogio
Opublikowane w: 2018 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW), 2018, Strona(/y) 214-217, ISBN 978-1-5386-5555-9
Wydawca: IEEE
DOI: 10.1109/IPDPSW.2018.00041

In-Circuit FPGA Debugging using Parameterised Reconfigurations

Autorzy: Alexandra Kourfali and Dirk Stroobandt
Opublikowane w: 54th ACM/ESDA/IEEE Design Automation Conference (DAC), 2017
Wydawca: IEEE

Superimposed In-Circuit Fault Mitigation for Dynamically Reconfigurable FPGAs

Autorzy: Alexandra Kourfali, David Merodio Codinachs and Dirk Stroobandt
Opublikowane w: IEEE Conference on Radiation Effects on Components and Systems (RADECS), 2017
Wydawca: IEEE

An FPGA-Based Acceleration Methodology and Performance Model for Iterative Stencils

Autorzy: Enrico Reggiani, Giuseppe Natale, Carlo Moroni, Marco D. Santambrogio
Opublikowane w: 2018 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW), 2018, Strona(/y) 115-122, ISBN 978-1-5386-5555-9
Wydawca: IEEE
DOI: 10.1109/IPDPSW.2018.00026

Towards Hardware Accelerated Reinforcement Learning for Application-Specific Robotic Control

Autorzy: Shengjia Shao, Jason Tsai, Michal Mysior, Wayne Luk, Thomas Chau, Alexander Warren, Ben Jeppesen
Opublikowane w: 2018 IEEE 29th International Conference on Application-specific Systems, Architectures and Processors (ASAP), 2018, Strona(/y) 1-8, ISBN 978-1-5386-7479-6
Wydawca: IEEE
DOI: 10.1109/ASAP.2018.8445099

From exaflop to exaflow

Autorzy: Tobias Becker, Pavel Burovskiy, Anna Maria Nestorov, Hristina Palikareva, Enrico Reggiani, Georgi Gaydadjiev
Opublikowane w: Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017, 2017, Strona(/y) 404-409, ISBN 978-3-9815370-8-6
Wydawca: IEEE
DOI: 10.23919/DATE.2017.7927024

MAX-PolyMem: High-Bandwidth Polymorphic Parallel Memories for DFEs

Autorzy: Catalin Bogdan Ciobanu, Giulio Stramondo, Cees de Laat, Ana Lucia Varbanescu
Opublikowane w: 2018 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW), 2018, Strona(/y) 107-114, ISBN 978-1-5386-5555-9
Wydawca: IEEE
DOI: 10.1109/IPDPSW.2018.00025

Towards Efficient Convolutional Neural Network for Domain-Specific Applications on FPGA

Autorzy: R. Zhao, H.C. Ng, W. Luk and X. Niu
Opublikowane w: International Conference on Field-Programmable Logic and Applications, 2018
Wydawca: IEEE

HLS Support for Polymorphic Parallel Memories

Autorzy: Luca Stornaiuolo, Marco Rabozzi, Marco D. Santambrogio, Donatella Sciuto, Giulio Stramondo, Catalin Bogdan Ciobanu, Ana Lucia Varbanescu
Opublikowane w: proceeding of the 26th IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), 2018
Wydawca: IEEE

OXiGen: A Tool for Automatic Acceleration of C Functions Into Dataflow FPGA-Based Kernels

Autorzy: Francesco Peverelli, Marco Rabozzi, Emanuele Del Sozzo, Marco D. Santambrogio
Opublikowane w: 2018 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW), 2018, Strona(/y) 91-98, ISBN 978-1-5386-5555-9
Wydawca: IEEE
DOI: 10.1109/IPDPSW.2018.00023

Accelerated Inference of Positive Selection on Whole Genomes

Autorzy: Nikolaos Alachiotis, Charalampos Vatsolakis, Grigorios Chrysos and Dionisios N. Pnevmatikatos
Opublikowane w: International Conference on Field-Programmable Logic and Applications, 2018
Wydawca: IEEE

A CAD Open Platform for High Performance Reconfigurable Systems in the EXTRA Project

Autorzy: Marco Rabozzi, Rolando Brondolin, Giuseppe Natale, Emanuele Del Sozzo, Michael Huebner, Andreas Brokalakis, Catalin Ciobanu, Dirk Stroobandt, Marco Domenico Santambrogio
Opublikowane w: 2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2017, Strona(/y) 368-373, ISBN 978-1-5090-6762-6
Wydawca: IEEE
DOI: 10.1109/ISVLSI.2017.71

Liquid: High quality scalable placement for large heterogeneous FPGAs

Autorzy: Dries Vercruyce, Elias Vansteenkiste, Dirk Stroobandt
Opublikowane w: 2017 International Conference on Field Programmable Technology (ICFPT), 2017, Strona(/y) 17-24, ISBN 978-1-5386-2656-6
Wydawca: IEEE
DOI: 10.1109/FPT.2017.8280116

A Scalable FPGA Design for Cloud N-Body Simulation

Autorzy: Emanuele Del Sozzo, Marco Rabozzi, Lorenzo Di Tucci, Donatella Sciuto, Marco D. Santambrogio
Opublikowane w: 2018 IEEE 29th International Conference on Application-specific Systems, Architectures and Processors (ASAP), 2018, Strona(/y) 1-8, ISBN 978-1-5386-7479-6
Wydawca: IEEE
DOI: 10.1109/ASAP.2018.8445106

EXTRA: An Open Platform for Reconfigurable Architectures

Autorzy: Catalin Bogdan Ciobanu, Giulio Stramondo, Ana Lucia Varbanescu, Andreas Brokalakis, Antonis Nikitakis, Lorenzo Di Tucci, Marco Rabozzi, Luca Stornaiuolo, Marco D. Santambrogio, Grigorios Chrysos, Charalampos Vatsolakis, Charitopoulos Georgios, Dionisios Pnevmatikatos
Opublikowane w: Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS XVIII), 2018 International Conference on, 2018
Wydawca: IEEE
DOI: 10.1145/3229631.3236092

A generic high throughput architecture for stream processing

Autorzy: Christes Rousopoulos, Ektoras Karandeinos, Grigorios Chrysos, Apostolos Dollas, Dionisios N. Pnevmatikatos
Opublikowane w: 2017 27th International Conference on Field Programmable Logic and Applications (FPL), 2017, Strona(/y) 1-5, ISBN 978-9-0903-0428-1
Wydawca: IEEE
DOI: 10.23919/FPL.2017.8056796

Five-point algorithm: An efficient cloud-based FPGA implementation

Autorzy: Marco Rabozzi, Emanuele Del Sozzo, Lorenzo Di Tucci, Marco D. Santambrogio
Opublikowane w: 2018 IEEE 29th International Conference on Application-specific Systems, Architectures and Processors (ASAP), 2018, Strona(/y) 1-8, ISBN 978-1-5386-7479-6
Wydawca: IEEE
DOI: 10.1109/ASAP.2018.8445097

A decoupled access-execute architecture for reconfigurable accelerators

Autorzy: George Charitopoulos, Charalampos Vatsolakis, Grigorios Chrysos, Dionisios N. Pnevmatikatos
Opublikowane w: Proceedings of the 15th ACM International Conference on Computing Frontiers - CF '18, 2018, Strona(/y) 244-247, ISBN 9781-450357616
Wydawca: ACM Press
DOI: 10.1145/3203217.3203267

Online reconfigurable routing method for handling link failures in NoC-based MPSoCs

Autorzy: Poona Bahrebar, Dirk Stroobandt
Opublikowane w: 2016 11th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), 2016, Strona(/y) 1-8, ISBN 978-1-5090-2520-6
Wydawca: IEEE
DOI: 10.1109/ReCoSoC.2016.7533905

Runtime-quality tradeoff in partitioning based multithreaded packing

Autorzy: Dries Vercruyce, Elias Vansteenkiste, Dirk Stroobandt
Opublikowane w: 2016 26th International Conference on Field Programmable Logic and Applications (FPL), 2016, Strona(/y) 1-9, ISBN 978-2-8399-1844-2
Wydawca: IEEE
DOI: 10.1109/FPL.2016.7577300

Efficient Hardware Debugging Using Parameterized FPGA Reconfiguration

Autorzy: Alexandra Kourfali, Dirk Stroobandt
Opublikowane w: 2016 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW), 2016, Strona(/y) 277-282, ISBN 978-1-5090-3682-0
Wydawca: IEEE
DOI: 10.1109/IPDPSW.2016.95

A 16-Bit Reconfigurable Encryption Processor for p-Cipher

Autorzy: Mohamed El-Hadedy, Hristina Mihajloska, Danilo Gligoroski, Amit Kulkarni, Dirk Stroobandt, Kevin Skadron
Opublikowane w: 2016 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW), 2016, Strona(/y) 162-171, ISBN 978-1-5090-3682-0
Wydawca: IEEE
DOI: 10.1109/IPDPSW.2016.27

MiCAP: a custom reconfiguration controller for dynamic circuit specialization

Autorzy: Amit Kulkarni, Vipin Kizheppatt, Dirk Stroobandt
Opublikowane w: 2015 International Conference on ReConFigurable Computing and FPGAs (ReConFig), 2015, Strona(/y) 1-6, ISBN 978-1-4673-9406-2
Wydawca: IEEE
DOI: 10.1109/ReConFig.2015.7393327

Hardware Design Automation of Convolutional Neural Networks

Autorzy: Andrea Solazzo, Emanuele Del Sozzo, Irene De Rose, Matteo De Silvestri, Gianluca C. Durelli, Marco D. Santambrogio
Opublikowane w: 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2016, Strona(/y) 224-229, ISBN 978-1-4673-9039-2
Wydawca: IEEE
DOI: 10.1109/ISVLSI.2016.101

Pixie: A heterogeneous Virtual Coarse-Grained Reconfigurable Array for high performance image processing applications

Autorzy: A. Kulkarni, A. Werner, F. Fricke, D. Stroobandt and M. Huebner
Opublikowane w: 3rd International Workshop on Overlay Architectures for FPGAs (OLAF2017), 2017
Wydawca: IEEE

EXTRA: Towards the exploitation of eXascale technology for reconfigurable architectures

Autorzy: Dirk Stroobandt, Ana Lucia Varbanescu, Catalin Bogdan Ciobanu, Muhammed Al Kadi, Andreas Brokalakis, George Charitopoulos, Tim Todman, Xinyu Niu, Dionisios Pnevmatikatos, Amit Kulkarni, Elias Vansteenkiste, Wayne Luk, Marco D. Santambrogio, Donatella Sciuto, Michael Huebner, Tobias Becker, Georgi Gaydadjiev, Antonis Nikitakis, Alex J. W. Thom
Opublikowane w: 2016 11th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), 2016, Strona(/y) 1-7, ISBN 978-1-5090-2520-6
Wydawca: IEEE
DOI: 10.1109/ReCoSoC.2016.7533896

An FPGA-based high-throughput stream join architecture

Autorzy: Charalabos Kritikakis, Grigorios Chrysos, Apostolos Dollas, Dionisios N. Pnevmatikatos
Opublikowane w: 2016 26th International Conference on Field Programmable Logic and Applications (FPL), 2016, Strona(/y) 1-4, ISBN 978-2-8399-1844-2
Wydawca: IEEE
DOI: 10.1109/FPL.2016.7577354

Design and exploration of routing methods for NoC-based multicore systems

Autorzy: Poona Bahrebar, Dirk Stroobandt
Opublikowane w: 2015 International Conference on ReConFigurable Computing and FPGAs (ReConFig), 2015, Strona(/y) 1-4, ISBN 978-1-4673-9406-2
Wydawca: IEEE
DOI: 10.1109/ReConFig.2015.7393296

EXTRA: Towards an Efficient Open Platform for Reconfigurable High Performance Computing

Autorzy: Catalin Bogdan Ciobanu, Ana Lucia Varbanescu, Dionisios Pnevmatikatos, George Charitopoulos, Xinyu Niu, Wayne Luk, Marco D. Santambrogio, Donatella Sciuto, Muhammed Al Kadi, Michael Huebner, Tobias Becker, Georgi Gaydadjiev, Andreas Brokalakis, Antonis Nikitakis, Alex J. W. Thom, Elias Vansteenkiste, Dirk Stroobandt
Opublikowane w: 2015 IEEE 18th International Conference on Computational Science and Engineering, 2015, Strona(/y) 339-342, ISBN 978-1-4673-8297-7
Wydawca: IEEE
DOI: 10.1109/CSE.2015.54

A Fully Parameterized Virtual Coarse Grained Reconfigurable Array for High Performance Computing Applications

Autorzy: Amit Kulkarni, Elias Vasteenkiste, Dirk Stroobandt, Andreas Brokalakis, Antonios Nikitakis
Opublikowane w: 2016 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW), 2016, Strona(/y) 265-270, ISBN 978-1-5090-3682-0
Wydawca: IEEE
DOI: 10.1109/IPDPSW.2016.13

A polyhedral model-based framework for dataflow implementation on FPGA devices of iterative stencil loops

Autorzy: Giuseppe Natale, Giulio Stramondo, Pietro Bressana, Riccardo Cattaneo, Donatella Sciuto, Marco D. Santambrogio
Opublikowane w: Proceedings of the 35th International Conference on Computer-Aided Design - ICCAD '16, 2016, Strona(/y) 1-8, ISBN 9781-450344661
Wydawca: ACM Press
DOI: 10.1145/2966986.2966995

Heterogeneous exascale supercomputing: the role of CAD in the exaFPGA project

Autorzy: M. Rabozzi, G. Natale, E. Del Sozzo, A. Scolari, L. Stornaiuolo, and M. D. Santambrogio
Opublikowane w: 2017 Design, Automation Test in Europe Conference Exhibition (DATE), 2017
Wydawca: ACM

On the Automation of High Level Synthesis of Convolutional Neural Networks

Autorzy: Emanuele Del Sozzo, Andrea Solazzo, Antonio Miele, Marco D. Santambrogio
Opublikowane w: 2016 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW), 2016, Strona(/y) 217-224, ISBN 978-1-5090-3682-0
Wydawca: IEEE
DOI: 10.1109/IPDPSW.2016.153

Towards a Performance-Aware Power Capping Orchestrator for the Xen Hypervisor

Autorzy: M. Arnaboldi, M. Ferroni, M. D. Santambrogio
Opublikowane w: Embed With Linux (EWiLi) Workshop 2016, 2016
Wydawca: NN

ProFAX: A hardware acceleration of a protein folding algorithm

Autorzy: Giulia Guidi, Lorenzo Di Tucci, Marco D. Santambrogio
Opublikowane w: 2016 IEEE 2nd International Forum on Research and Technologies for Society and Industry Leveraging a better tomorrow (RTSI), 2016, Strona(/y) 1-6, ISBN 978-1-5090-1131-5
Wydawca: IEEE
DOI: 10.1109/RTSI.2016.7740584

Knowledge Transfer in Automatic Optimisation of Reconfigurable Designs

Autorzy: Maciej Kurek, Marc Peter Deisenroth, Wayne Luk, Timothy Todman
Opublikowane w: 2016 IEEE 24th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), 2016, Strona(/y) 84-87, ISBN 978-1-5090-2356-1
Wydawca: IEEE
DOI: 10.1109/FCCM.2016.29

EURECA compilation: Automatic optimisation of cycle-reconfigurable circuits

Autorzy: Xinyu Niu, Nicholas Ng, Tomofumi Yuki, Shaojun Wang, Nobuko Yoshida, Wayne Luk
Opublikowane w: 2016 26th International Conference on Field Programmable Logic and Applications (FPL), 2016, Strona(/y) 1-4, ISBN 978-2-8399-1844-2
Wydawca: IEEE
DOI: 10.1109/FPL.2016.7577359

F-CNN: An FPGA-based framework for training Convolutional Neural Networks

Autorzy: Wenlai Zhao, Haohuan Fu, Wayne Luk, Teng Yu, Shaojun Wang, Bo Feng, Yuchun Ma, Guangwen Yang
Opublikowane w: 2016 IEEE 27th International Conference on Application-specific Systems, Architectures and Processors (ASAP), 2016, Strona(/y) 107-114, ISBN 978-1-5090-1503-0
Wydawca: IEEE
DOI: 10.1109/ASAP.2016.7760779

A Domain Specific Language for accelerated Multilevel Monte Carlo simulations

Autorzy: Ben Lindsey, Matthew Leslie, Wayne Luk
Opublikowane w: 2016 IEEE 27th International Conference on Application-specific Systems, Architectures and Processors (ASAP), 2016, Strona(/y) 99-106, ISBN 978-1-5090-1503-0
Wydawca: IEEE
DOI: 10.1109/ASAP.2016.7760778

A Scalable Dataflow Accelerator for Real Time Onboard Hyperspectral Image Classification

Autorzy: Shaojun Wang, Xinyu Niu, Ning Ma, Wayne Luk, Philip Leong, Yu Peng
Opublikowane w: Part of the Lecture Notes in Computer Science book series (LNCS, volume 9625), 2016, Strona(/y) 105-116
Wydawca: Springer International Publishing
DOI: 10.1007/978-3-319-30481-6_9

Connect on the fly: Enhancing and prototyping of cycle-reconfigurable modules

Autorzy: Hao Zhou, Xinyu Niu, Junqi Yuan, Lingli Wang, Wayne Luk
Opublikowane w: 2016 26th International Conference on Field Programmable Logic and Applications (FPL), 2016, Strona(/y) 1-8, ISBN 978-2-8399-1844-2
Wydawca: IEEE
DOI: 10.1109/FPL.2016.7577332

Optimising Sparse Matrix Vector multiplication for large scale FEM problems on FPGA

Autorzy: Paul Grigoras, Pavel Burovskiy, Wayne Luk, Spencer Sherwin
Opublikowane w: 2016 26th International Conference on Field Programmable Logic and Applications (FPL), 2016, Strona(/y) 1-9, ISBN 978-2-8399-1844-2
Wydawca: IEEE
DOI: 10.1109/FPL.2016.7577352

CASK - Open-Source Custom Architectures for Sparse Kernels

Autorzy: Paul Grigoras, Pavel Burovskiy, Wayne Luk
Opublikowane w: Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays - FPGA '16, 2016, Strona(/y) 179-184, ISBN 9781-450338561
Wydawca: ACM Press
DOI: 10.1145/2847263.2847338

A Decoupled Access-Execute Architecture for Reconfigurable Accelerators

Autorzy: George Charitopoulos, Charalampos Vatsolakis, Stefanos Sidiropoulos, Grigorios Chrysos and Dionisios Pnevmatikatos
Opublikowane w: 11th HiPEAC Workshop on Reconfigurable Computing (WRC'2017), 2017
Wydawca: NN

Customizable Memory Systems for High Performance Reconfigurable Architectures

Autorzy: Catalin Ciobanu, Giulio Stramondo, Ana Lucia Varbanescu
Opublikowane w: International Summer School on Advanced Computer Architecture and Compilation for High-Performance and Embedded (ACACES) 2016, 2016
Wydawca: NN

A Scalable Dataflow Implementation of Curran’s Approximation Algorithm

Autorzy: Anna Maria Nestorov, Enrico Reggiani, Marco Domenico Santambrogio, Pavel Burovskiy, Hristina Palikareva and Tobias Becker
Opublikowane w: Reconfigurable Architectures Workshop, 2017
Wydawca: NN

From exaflop to exaflow

Autorzy: • Tobias Becker, Pavel Burovskiy, Anna Maria Nestorov, Hristina Palikareva, Enrico Reggiani, Georgi Gaydadjiev
Opublikowane w: Proceedings of the Design Automation and Test in Europe Conference, 2017
Wydawca: NN

How effective are custom parallel memories

Autorzy: Giulio Stramondo, Ana Lucia Varbanescu and Catalin Bogdan Ciobanu
Opublikowane w: ICT.Open, 2017
Wydawca: NN

The Case for Custom Parallel Memories: an Application-centric Analysis

Autorzy: Giulio Stramondo, Catalin Ciobanu, Ana Lucia Varbanescu
Opublikowane w: 2016
Wydawca: NN

Abacus turn model-based routing for NoC interconnects with switch or link failures

Autorzy: Poona Bahrebar, Dirk Stroobandt
Opublikowane w: Microprocessors and Microsystems, Numer 59, 2018, Strona(/y) 69-91, ISSN 0141-9331
Wydawca: Elsevier BV
DOI: 10.1016/j.micpro.2018.01.005

Quantum Chemistry in Dataflow: Density-Fitting MP2

Autorzy: Bridgette Cooper, Stephen Girdlestone, Pavel Burovskiy, Georgi Gaydadjiev, Vitali Averbukh, Peter J. Knowles, Wayne Luk
Opublikowane w: Journal of Chemical Theory and Computation, Numer 13/11, 2017, Strona(/y) 5265-5272, ISSN 1549-9618
Wydawca: American Chemical Society
DOI: 10.1021/acs.jctc.7b00649

How Preserving Circuit Design Hierarchy During FPGA Packing Leads to Better Performance

Autorzy: Dries Vercruyce, Elias Vansteenkiste, Dirk Stroobandt
Opublikowane w: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Numer 37/3, 2018, Strona(/y) 629-642, ISSN 0278-0070
Wydawca: Institute of Electrical and Electronics Engineers
DOI: 10.1109/TCAD.2017.2717786

FP-BNN: Binarized neural network on FPGA

Autorzy: Shuang Liang, Shouyi Yin, Leibo Liu, Wayne Luk, Shaojun Wei
Opublikowane w: Neurocomputing, Numer 275, 2018, Strona(/y) 1072-1086, ISSN 0925-2312
Wydawca: Elsevier BV
DOI: 10.1016/j.neucom.2017.09.046

Run-time Reconfigurable Acceleration for Genetic Programming Fitness Evaluation in Trading Strategies

Autorzy: Andreea-Ingrid Funie, Paul Grigoras, Pavel Burovskiy, Wayne Luk, Mark Salmon
Opublikowane w: Journal of Signal Processing Systems, Numer 90/1, 2018, Strona(/y) 39-52, ISSN 1939-8018
Wydawca: Springer Verlag
DOI: 10.1007/s11265-017-1244-8

How to Efficiently Reconfigure Tunable Lookup Tables for Dynamic Circuit Specialization

Autorzy: Amit Kulkarni, Dirk Stroobandt
Opublikowane w: International Journal of Reconfigurable Computing, Numer 2016, 2016, Strona(/y) 1-12, ISSN 1687-7195
Wydawca: Hindawi Publishing Corporation
DOI: 10.1155/2016/5340318

Floorplanning Automation for Partial-Reconfigurable FPGAs via Feasible Placements Generation

Autorzy: Marco Rabozzi, Gianluca Carlo Durelli, Antonio Miele, John Lillis, Marco Domenico Santambrogio
Opublikowane w: IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Numer 25/1, 2017, Strona(/y) 151-164, ISSN 1063-8210
Wydawca: Institute of Electrical and Electronics Engineers
DOI: 10.1109/TVLSI.2016.2562361

MiCAP-Pro: a high speed custom reconfiguration controller for Dynamic Circuit Specialization

Autorzy: Amit Kulkarni, Dirk Stroobandt
Opublikowane w: Design Automation for Embedded Systems, Numer 20/4, 2016, Strona(/y) 341-359, ISSN 0929-5585
Wydawca: Kluwer Academic Publishers
DOI: 10.1007/s10617-016-9180-6

On How to Accelerate Iterative Stencil Loops

Autorzy: Riccardo Cattaneo, Giuseppe Natale, Carlo Sicignano, Donatella Sciuto, Marco Domenico Santambrogio
Opublikowane w: ACM Transactions on Architecture and Code Optimization, Numer 12/4, 2016, Strona(/y) 1-26, ISSN 1544-3566
Wydawca: Association for Computing Machinary, Inc.
DOI: 10.1145/2842615

Performance-driven instrumentation and mapping strategies using the LARA aspect-oriented programming approach

Autorzy: João M. P. Cardoso, José G. F. Coutinho, Tiago Carvalho, Pedro C. Diniz, Zlatko Petrov, Wayne Luk, Fernando Gonçalves
Opublikowane w: Software: Practice and Experience, Numer 46/2, 2016, Strona(/y) 251-287, ISSN 0038-0644
Wydawca: John Wiley & Sons Inc.
DOI: 10.1002/spe.2301

Leveraging FPGAs for Accelerating Short Read Alignment

Autorzy: James Arram, Thomas Kaplan, Wayne Luk, Peiyong Jiang
Opublikowane w: IEEE/ACM Transactions on Computational Biology and Bioinformatics, 2017, Strona(/y) 1-1, ISSN 1545-5963
Wydawca: IEEE Computer Society
DOI: 10.1109/TCBB.2016.2535385

A Domain Specific Approach to High Performance Heterogeneous Computing

Autorzy: Gordon Inggs, David B. Thomas, Wayne Luk
Opublikowane w: IEEE Transactions on Parallel and Distributed Systems, Numer 28/1, 2017, Strona(/y) 2-15, ISSN 1045-9219
Wydawca: Institute of Electrical and Electronics Engineers
DOI: 10.1109/TPDS.2016.2563427

NeuroFlow: A General Purpose Spiking Neural Network Simulation Platform using Customizable Processors

Autorzy: Kit Cheung, Simon R. Schultz, Wayne Luk
Opublikowane w: Frontiers in Neuroscience, Numer 9, 2016, ISSN 1662-453X
Wydawca: NN
DOI: 10.3389/fnins.2015.00516

Transparent In-Circuit Assertions for FPGAs

Autorzy: Eddie Hung, Tim Todman, Wayne Luk
Opublikowane w: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2017, Strona(/y) 1-1, ISSN 0278-0070
Wydawca: Institute of Electrical and Electronics Engineers
DOI: 10.1109/TCAD.2016.2618862

Chapter Four - Data Flow Computing in Geoscience Applications

Autorzy: L. Gan, H. Fu, O. Mencer, W. Luk, G. Yang
Opublikowane w: 2017, Strona(/y) 125-158
Wydawca: Elsevier
DOI: 10.1016/bs.adcom.2016.09.005

Wyszukiwanie danych OpenAIRE...

Podczas wyszukiwania danych OpenAIRE wystąpił błąd

Brak wyników