European Commission logo
English English
CORDIS - EU research results
CORDIS
Content archived on 2024-05-27

Nanoresonators with Integrated circuitry for high sensitivity and high resolution mass detection

Article Category

Article available in the following languages:

Nanoresonators for high resolution mass detection

The NANOMASS II project addressed a new perspective of biosensing due to the possibility of manufacturing devices at scales similar to those of bio-molecules.

Digital Economy icon Digital Economy

The advances of nanotechnologies have enabled the miniaturisation of microcantilevers widely used for sensor applications, which are based on the detection of surface stress changes as the response signal. Absorption or deposition of compounds on vibrating nanometre-sized cantilevers can be detected by monitoring the resonant frequency shift due to the added mass. The nanoresonator structures are excited into lateral vibration by means of an AC/DC voltage applied between the suspended cantilever and a fixed parallel electrode. Changes in cantilever resonance frequency are observed as capacitance changes. As a result of the technological innovations pursued within the NANOMASS II project, a full System-on-Chip was developed to eliminate parasitic capacitance introduced by the external bonding pads and wires. Complementary metal-oxide semiconductor (CMOS) circuitry for excitation and read-out of cantilever's deflection was integrated together with the cantilever by combining standard CMOS techniques with novel nanofabrication methods. Ultra-thin chromium nano-cantilevers were defined by electron beam lithography using a double-layered mask of resist material on a silicon chip. After the lift-off process, the nano-cantilevers were released from the substrate by advanced reactive ion etching. The resultant chromium nano-cantilevers were 3µm long and their width measured less than 90nm. Alternatively, specific quartz stamps were employed to define nano-cantilevers on the CMOS substrate by nano-imprint lithography (NIL). The two different nanolithography processes were furthermore compared to evaluate their advantages and limitations in terms of dimension reduction, throughput and their compatibility with CMOS. When manufacturing such nano-electro-mechanical systems (NEMS), detailed knowledge of their electrical and more importantly, their mechanical properties is most relevant for their use as ultra-sensitive single molecule sensors. Local elastic properties of nano-cantilevers of different lengths were estimated by mechanically bending them with the tip of an atomic force microscope (AFM) and measuring their bending displacement. Accurate and quantitative descriptions of the nano-cantilevers deflection were deduced that can be used to estimate the required cantilevers' dimensions for achieving the best possible performance for the mass sensor.

Discover other articles in the same domain of application