Skip to main content
European Commission logo print header

Micro and Nano Systems Center of Excellence - IMEL/NCSR DEMOKRITOS

Final Report Summary - MINASYS-COE (Micro and Nano Systems Center of Excellence - IMEL/NCSR DEMOKRITOS)

Executive Summary:

The project Micro and Nano Systems Centre of Excellence (MiNaSys-CoE) has helped to upgrade the research infrastructure and research portfolio of the Institute of Microelectronics in a way that would enable the Institute to be competitive worldwide in the coming decade and maintain its role and influence in Greece as the reference Center in the field of fabrication of micro nano systems. The objectives were implemented by trans-national two-way exchange of research staff between IMEL and the selected centres, by hosting experienced researchers with relevant expertise from these leading European Centres. To strengthen our research and development capabilities, we have purchased new advanced equipment for nanoscale patterning (e-beam writer).
Due to the different fields of research carried out at the IMEL we had very special demands for the new patterning system. It not only had to be capable of a multi user environment but also had to provide high class and efficient nano-lithography in all areas of our activities spanning from nanoelectronic devices to sensors and MEMS/NEMS or nanofluidics. The system provides a spot size down to <2.2nm which allows the routinely generation of nano-dimensional features below 8nm. Due to its expertise and infrastructure IMEL is the key institution for top-down nano-research in Greece. The tool will not only enable the institute to maintain its leading role in national nano-research but also to participate in European research projects, networks of excellence, and technology platforms at the highest level.
We have performed frequent exchange visits of the research staff between our group and established European centres of excellence. Our visits to the centres aimed to become acquainted with the sophisticated nanofabrication techniques and the application of the experience acquired in our laboratories. The research staff from those centres that visited and work in our group helped to introduce these techniques. We have already made exchanges with EPFL, Lille, Tyndal, Australian Laboratories, MC2 (Chalmers) and many others.
We have organized four international Workshops, to attract the leading scientists in the field from around the world. This will allow us to exchange views with external scientists that can evaluate the progress of our project, give advice for overcoming any difficulties or even enlighten our research with new innovative ideas. Besides, we have invited representatives from selected SME’s as well as large industrial laboratories of Europe or other specialists that could provide valuable advices for the further improvements of our techniques, but mainly for ways to implement our results in the market opening ways for potential applications of the proposed sensors and devices.


Project Context and Objectives:

The purpose of the present project is to extend and improve the existing facilities and know-how at the Institute of Microelectronics (IMEL) of the National Center for Scientific Research “Demokritos”, recognized as Center of Excellence in Nanoelectronics and MEMS in Greece. Dissemination and networking activities will be also organized, targeting mainly, but not exclusively, collaborations with the countries in Southeastern Europe in the field of nanofabrication, nanodevices and sensors/MEMS/NEMS. With the existing expertise, know-how and state-of-the-art infrastructure and facilities, complimented by important new equipment that will be purchased within the present project, the MiNaSys-CoE aims at becoming unique in the Southeastern Europe region.
The Institute of Microelectronics of NCSR Demokritos was established in 1986 to promote research related with Microelectronics in Greece fostering at the same time the involvement and contribution of the country to European research in the field. Since then the Institute has been able to acquire research equipment, successfully participate in numerous European research projects and produce high quality research focused mostly on silicon technology. The know-how developed within these twenty years of operation of the Institute covers the physico-chemical and technological understanding of basic silicon processing steps as well as innovative device design and realization extending from sensors to memories.

Research has focused in the following areas:

 Micro and Nanofabrication
 Nanostructures and Nanoelectronics
 Sensors and MEMS

Current research for new electronic devices is making fast progress worldwide taking the emphasis off conventional silicon device miniaturization race and into the use of new materials and structures that can be combined with silicon infrastructure to enhance its functionality. Especially in Europe this trend appears strong during last years.
The research approach taken at the Institute has been based in a combination of complementary skills of its researchers that has to both an insight on the properties and processing of organic and inorganic materials as well as to the understanding of corresponding device physics and technology.
The REGPOT program is a good opportunity for the Institute to upgrade its research potential through the acquisition of up-to-date and expensive infrastructure difficult to get through national funding and at the same time contribute constructively to the exchange of know-how with leading research centers in Europe. This attempt that looks beyond conventional CMOS devices can be realized using our previous experience in silicon technology that enables smooth introduction of new processing tools and materials. The upgrading of our research capabilities will have an impact not only on regional high technology development but also on advancing our research capacities at European level in the thematic priorities of the Nanoscience and Nanotechnology (NMP) and Micro/Nano systems (ICT). Lack of state-of-the-art equipment could have a negative impact on the Institute on research record and its potential to achieve research excellence at international level in the coming decade.

In summary the objective of the project is:

• To upgrade the research infrastructure and research portfolio of the Institute of Microelectronics in a way that would enable the Institute to be competitive worldwide in the coming decade and maintain its role and influence in Greece as the reference Center in the field of fabrication of micro & nanosystems.

To achieve that general objective the following specific objectives are then set:

• Purchase and install research equipment capable for patterning at the nanoscale and allowing processing and integration of Silicon and polymeric substrates and devices.
• Forge new research collaborations with European Centers of Excellence by exchange of personnel allowing fast and timely introduction of new technologies
• Train young scientists, technologists and SMEs technical personnel to gradually improve the quality of life and economy of the country.
• Focus the research activities of the Institute personnel on thematic areas of priority for Europe with emphasis on potential regional applications
• Horizontally integrate the occasionally isolated activities of the researchers by promoting integration of the new materials and devices into homogeneous but often heterogeneous systems
• Strengthen the basic understanding and predictive design of the above devices by further integrating simulation groups within the existing strong experimental groups.
• Disseminate knowledge produced at IMEL through different complementary actions supported by MiNaSys (workshops, publications, web page)

The objectives will be implemented by trans-national two-way exchange of research staff between IMEL and the selected centres, by hosting experienced researchers with relevant expertise from these leading European Centres. To strengthen our research we shall proceed to the purchase of equipment for nanoscale patterning.
Achieving our objective at IMEL will strengthen our position in collaborating with other major research Institutes in Europe and thus create healthy strategic research partnerships. It will also stimulate innovation at peripheral level not only through our cooperation with Greek SMEs and the creation of start-up companies but also through the involvement in research of new researchers.
Two relevant international workshops, one national workshop and one national seminar will be organized during the course of this project. The Institute will also organize independently one International Conference (Micro&Nano 2010) in which scientists of IMEL will be involved.
The results of those important meetings will be presented in known journal proceedings along with the presentations of the keynote speakers.
The present project is in line with Micro/nanosystems Objective of ICT as well as of Future and Emerging Technologies programme. Nanotechnology and nanofabrication following either top-down or bottom up approach are critical components of Nanosciences and Nanotechnology programme as described by the objectives a) NMP-2007-1.2-2 Equipment and methods for nanotechnology, b) NMP-2007-2.1-3 Characterization of nanostructured materials are priorities for the EU FP7.


Project Results:

Within MiNaSys project, the S & T activities conducted have concentrated on the tune-up and optimization of basic techniques and processes to prepare as much as possible the projected E-beam activities and enhance the value and competiveness of IMEL in such sectors as nanoelectronics, biosensing, lab-on-a-chip, photovoltaics, and photonics (see Deliverable D3.3.1). Significant advances have been made in the development and improvement of nanoscale characterization techniques (see Section I-Imaging/Microscopy/Metrology activities) and fabrication processes (see, Sections: II-Pattern transfer activities, III-E-beam lithography and related activities, IV-Microfluidics and Lab-on-a-chip activities) with high potential impact in the above sectors. These activities have not only improved and reinforced IMEL’s know-how in strategic R&D areas but also offer to IMEL the opportunity to play a distinct role at national and international levels in nanotechnology and nanoscience studies based on high-resolution and high-throughput electron-beam lithography. A synopsis of S & T activities is given hereafter. All figures making part of the text below part are presented in the attached file named 'Final Report S&T figures'.

SECTION I-Imaging/Microscopy/Metrology activities (M6-M40)

Emphasis has been placed first on imaging, microscopy and metrology of nanostructures. Significant advances have been made in this area and many issues encountered in SEM imaging have been overcome. In addition substantial efforts have been devoted to TEM exploitation in particular through sample preparation improvement. TEM analysis adapted to the materials and structures developed at IMEL has been systematically introduced in the characterization of a number of processes and the research staff is now aware of most TEM techniques and capabilities of the existing equipment (see D3.3.1). We also focused mainly on the development of the Conducting Atomic Force Microscopy (C-AFM) and Scanning Capacitance Microscopy (SCM) techniques, in addition to SEM and TEM activities. We demonstrated their importance for the core activities of IMEL and their capability for simultaneous structural and electrical characterization at the nanoscale.

I.1 Conducting Atomic Force Microscopy and Scanning Capacitance Microscopy

The C-AFM technique was available at IMEL for a long time but was not exploited, mainly due to a lack of expertise in the field. We have during the project developed this technique and tested a large variety of conducting samples fabricated at IMEL answering many questions on their structural and electrical properties. He demonstrated the importance of C-AFM for the core activities of IMEL and its capability for simultaneous structural and electrical characterization at the nanoscale. He proved the uniqueness of this technique especially for the characterization of p-n junction nanopillars without the need of metal contacts and more generally, as an alternative to standard I-V measurements on nanostructures. As an example, SEM/AFM images from ordered Si nanopillar arrays with a p-n junction along the pillar axial direction and an I-V curve measured from a single nanopillar are given in figure I.1. These nanopillars were fabricated by colloidal lithography and cryogenic Si plasma etching starting from an n-type Si wafer which was boron implanted to form a p-type surface layer. Testing of Au, Pt-Ir and Diamond-like-Carbon -coated- Si tips revealed that the latter tips are the most durable with the only drawback that they lack sensitivity with respect to structural resolution.
C-AFM has proven to be also a useful technique to examine processing side effects (surface contamination, etching residuals, lithography artifacts) which can appear during fabrication, as well as other critical parameters like the integrity and electrical strength of thin dielectric films. As a result of this work, a large number of IMEL’s staff members (8) expressed their interest in this technique and were trained on its use..
The use of the Scanning Capacitance Microscopy (SCM) technique has also been investigated. This AFM option was available at IMEL but has never been exploited before. The following images were taken from a reference sample sent by Veeco (the AFM’s manufacturer) to IMEL for SCM testing.
There are three main concerns for IMEL's users regarding the SCM technique. First, the SCM's results are relatively difficult to interpret and quantify, second, the IMEL's SCM is limited to the use of only one type of pre-mounted tips/cantilevers (with the current market availability), and third (and most important) a specific preparation of the samples is often required to get useful results. Despite these concerns, the adding of this technique to IMEL capabilities offers an interesting (and complementary) option for non-destructive electrical characterization of materials at the nanoscale especially regarding 2-D dopant profiling in semiconductors.

I.2 SEM/TEM characterization and Sputter coater optimization

We have also continued our efforts (see D3.3.1) to exploit the capabilities of the Field Emission Scanning Electron Microscope (FE-SEM) available at IMEL and characterize advanced processes materials and structures. Several of these are critical for achieving the main tasks of MiNaSys, as for example the development of nanopatterns using the new Electron Beam Lithography tool. For this reason an evaluation of the metrological accuracy of the FE-SEM (JEOL JSM7401F) was conducted. This has been done using a variety of samples including standard samples (AFM standards) and samples that were created with nanometer-scale accuracy by E-beam lithography. It was found that under a range of imaging conditions there were substantial deviations of the measured dimensions from the actual ones. These deviations for the specific imaging conditions tested so far have been tabulated and are routinely used during FE-SEM inspection. Upgrading (especially re-aligning) of the microscope has been scheduled for the next few months in order to correct this problem.
In order to improve the use of the FE SEM, we needed to work also on the repair and the optimization of the Sputter coater used for sample preparation. This instrument was used erratically for a long period because of several faults; it now works in a repeatable manner providing coating materials with accurate thickness. As a consequence it is now regularly used for the coating of a variety of structures that otherwise get charged during SEM investigation; this includes structures produced by E-beam lithography that often have dimensions in the same order of magnitude as the sputter-coated layer.
As mentioned above, we have also continued development of TEM/SEM characterization of materials and structures that have particular importance for the development of IMELs' activities.
Particular emphasis was placed on TEM characterization as this technique may resolve difficult structural issues down to the Angstrom scale. One of these issues that has been in the frame of the Project II.2 (Materials and Devices for Memory and Emerging Electronics) has been the understanding of basic processes used for the development of advanced dielectric stacks of SONOS-type memories. Figure I.3 shows a cross-sectional TEM image of a SiO2/Si3N4/Al2O3 dielectric stack. The alumina layer was deposited by ALD. Such investigations were conducted not only to control the thickness of the different layers but also to examine the interface between the silicon nitride layer and the alumina layer (control dielectric), especially with regard to the presence or not of an interfacial layer (IL). TEM examination has also been useful for the study of the crystallinity of ALD-deposited control dielectric materials like zirconium oxide (see Fig. I.4).
In the frame of the internal IMEL's Projects on 'Thin Films by Chemical Vapor Deposition' and 'Materials for Lithography and Organic/Hybrid Electronics' TEM support contributed to the study of various nanostructured oxides for use in a variety of applications including hybrid organic inorganic electronic devices, electrochromic windows etc. An example of this work can be seen below for nanocrystalline substoichiometric WOx films, deposited by the hot-wire metal vapor deposition technique in hydrogen-containing environment.
The recruited researcher Dr Giannakopoulos who was responsible for all Section I activities, followed also a training session for the advanced use of Electron Energy Loss Spectroscopy (EELS) on the TEM of NCSR Demokritos. This technique, among others, is particularly useful for the nanoscale chemical mapping of materials that include light elements such as C, N, and O.
Dr Giannakopoulos made also scientific visits to laboratories located in Belgium and Germany; these visits are described in more detail in D 3.2. In Belgium, he visited the EMAT group at the University of Antwerp, the Microelectronics lab at the Université Catholique de Louvain and, in Germany, the Institute of Nanotechnology, Karlsruhe Institute of Technology (KIT) and the Joint laboratory for Nanomaterials between KIT and the Institute for Materials Science, Darmstadt University of Technology (TUD). The EMAT group at the University of Antwerp is one of the leading groups in the development of advanced TEM techniques and hosts one of the best Transmission Electron Microscopes in the world, a "Titan" of the company FEI.

SECTION II-Pattern transfer activities (M15-M28)

The purpose of these activities was to reinforce IMEL’s expertise in plasma-assisted-transfer of resist patterns to Si, SiO2 and Si3N4 materials for the development of nanostructures like Si nanowires and optical waveguides. Optimum conditions were established and Si, SiO2 and Si3N4 mesa structures with very high anisotropic profile were demonstrated. Particular emphasis was also given on pattern transfer to SiO2/Si using deep submicron resist patterns.

II.1 RIE pattern transfer to Si

II.1.a Si etching using CHF3/SF6 chemistry
Si etching using CHF3/SF6 chemistries is reported in D3.3.1. In particular, Si and resist etch rates as a function of the gas composition have been evaluated and RIE optimum conditions have been established (10% SF6 - 90% CHF3, 0.5 W/cm2 rf power & 10 mTorr pressure). All Si structures obtained from positive resists exhibited very high anisotropy (close to unity) while those fabricated using negative resist patterns were very isotropic. It has been also show that the resist post-bake conditions (temperature – 95 to 120ºC-, and baking medium -hotplate vs furnace) do not affect significantly the silicon etch profile.
Results revealed that the etched samples using a CHF3/SF6 based chemistry come out with a residue that covers the whole surface. This residue is due to a deposition of a polymeric teflon-like film during etching. It should be noted that this residue may lead to a contamination issue for the post-processing of the Si structures, where in some cases a high quality oxide growth is required. The SF6/CHF3 mixture posed a number of drawbacks and other solutions needed to be investigated.

II.1.b Si etching using CHF3/SF6/O2 chemistry
For overcoming the problems related to the deposition of a Teflon-like film, different mixtures of CHF3/SF6/O2 gases have been examined. As reported in IR3.3.1 the addition of ~20% O2 in the mixture improves the process regarding fluorocarbon contamination, while the anisotropy of the etching process was kept close to unity. Also, it was found that only Si structures obtained from positive resists exhibited very high anisotropy. Under optimum conditions, the silicon etch rate was ~ 100 nm/min and the etch selectivity between AZ and Si was close to unity. Experiments conducted with HSQ patterns revealed that the etch selectivity between HSQ and Si was close to unity and therefore, may raise an important issue in the pattern transfer of nanostructures using E-beam lithography.

II.1.c Si etching using SF6/N2/O2 chemistry
Another option based on SF6/N2/O2 mixtures was investigated in order to obtain a contaminant-free process with high anisotropy and great selectivity between HSQ and Si. Both positive and negative resist (AZ 5214) patterns were first used in these experiments with the positive one being again the most reliable solution. The best results in terms of anisotropy are depicted in figure II.2. From this study it was concluded that anisotropy increases as the pressure decreases or the applied power increases. While a low etch selectivity (~1) between AZ and Si was detected, a higher selectivity was observed between HSQ and Si (see IR3.1.1 and Fig. II.3).
The best conditions found for Si etching using AZ line patterns have been applied to E-beam patterned HSQ materials (see IR3.3.1 for more detail). Good results were obtained for patterns made of sparse 100nm lines, independently of the etching duration (see Figs II.3a-b). For more dense patterns severe undercut effects were observed for long time duration. Optimization of the plasma pressure has led to the fabrication of Si lines with high anisotropy and reduced undercut effects for both sparse and dense patterns (see Figs II.3).

II.2 RIE pattern transfer to SiO2

II.2.1 SiO2 etching using CHF3/SF6 chemistry
SiO2 etching using different CHF3/SF6 based chemistries is reported in D3.3.1. Briefly, it was concluded that (1) there was no substantial differences in terms of etching rate and anisotropy between wet oxides and deposited oxides (TEOS) with and without densification, and (2) the etching rate and anisotropy, respectively, increases and decreases with SF6 plasma content increasing. As expected, the best results regarding the anisotropy of the structures were obtained with a net flow of CHF3.

II.2.2 SiO2 etching using SF6/N2/O2 chemistry
The transfer of resist patterns to underneath SiO2 materials by RIE using SF6/N2/O2 mixtures has also been investigated; details are given in IR3.3.3. As emphasized therein, such gas mixtures lead to isotropic SiO2 structures with a very low SiO2 etch rate (~ 7 nm/min). The latter result not only indicated that SiO2 could be used as an etching mask but also that HSQ materials (which becomes a SiO2-like material after E-beam exposure) could offer the etch resistance required for the plasma transfer of nano-patterns to Si (see section II.1).

II.3 RIE pattern transfer to Si3N4

II.3.1 Si3N4 Etching using CHF3/SF6 chemistry
Plasma transfer of resist patterns to Si3N4 materials using CHF3 and CHF3/SF6 mixtures was initiated at M17 (see D3.3.1). The best results in terms of anisotropy were obtained with a net flow of CHF3 (see Fig. II.4). The Si3N4 thickness etched under such plasma conditions as a function of the etching time has also been evaluated (see Fig. II.4). The difference between the etch process of SiO2 and Si3N4 is that the etch rate of Si3N4 changes substantially with the addition of a small amount of SF6. For instance, a variation from pure CHF3 (50 sccm) to 90% CHF3 (50 sccm CHF3 – 6 sccm SF6), at 10 mTorr and 0.5 W/cm2, causes an increase in the etch rate by 25% (from 39 nm/min to 49 nm/min). Furthermore, the effect of the conditions of resist-pattern preparation (mainly, post-bake temperature and O2 plasma treatment) on silicon nitride profiling has also been examined. Quantitative analyses using the LWR_Demokritos program (see http://imel.demokritos.gr/software.shtml for a full program presentation) have been performed to extract the roughness of the fabricated Si3N4 mesas.

II.3.2 Si3N4 etching using CHF3/O2/SF6 and SF6/N2/O2 chemistries
Silicon nitride etching using CHF3/O2/SF6 and SF6/N2/O2 gas mixtures has been examined. Excellent anisotropic Si3N4 profiling was obtained for particular CHF3/O2/SF6 mixtures and plasma parameters as shown in figure II.5 allowing an etch rate of about 77nm/min.
The recruited researcher from the project who has been involved in the above studies was Dr. P. Tsipas.

SECTION III E-beam lithography and related activities (M10-M44)

The aim of these activities was to develop different nanolithography-based processes for testing and optimizing the newly E-beam tool acquired through the MiNaSys project and thereby, to extend IMEL expertise in nanofabrication.
The main results obtained from E-beam lithography are presented under the sub-sections of (1) Nanolithography and resist processing using the E-beam tool, (2) Nanostructuring of inorganic materials at the wafer scale, (3) Mask template fabrication for nanoimprint lithography.

III.1 Nanolithography and resist processing using the E-beam tool

For the development of nanolithographic processes we have focused our efforts around two resists: PMMA and HSQ . These two resists address positive and negative tone imaging with very high-resolution (<10nm). One application of PMMA, as a positive resist, could be on the fabrication of large arrays of holes, which could subsequently be transferred in the underlying substrate or film after a carefully tuned plasma process, or form metallic dots after metal deposition and lift-off. The most challenging aspect of such geometry is to have small holes at a high density with very good uniformity over large areas by stitching together many mainfields (Note: One mainfield for the E-beam tool is 260μm at 100keV). In this direction, we have applied and tuned basic lithographic processes for PMMA in order to have constant and repeatable results for the fabrication of arrays with a period of 50 and 40 nm and hole diameter of less than 20nm. It turns out that overall stability of the E-beam tool and processing conditions are a crucial factor for the quality and repeatability of the lithographic result in this regime. In figure III.2 SEM images reveal holes with the specifications mentioned above, covering an area of 1mm2 (hole density: 4E10 cm-2).
To achieve the lithographic patterns required for the fabrication of the above hole arrays, Vistec company (E-beam tool provider) was contacted to address issues related to the uniformity of the patterns over one mainfield. More specifically, after discussions with the company we identified that such issues can be successfully addressed by increasing the resolution of the dynamic correction DAC for focus and stigmation that is applied in every subfield according to the main deflection value. This way we obtained much more uniform results, as there are more steps (resolution) for correcting focus and stigmation, thus enabling a finer correction between the subfields. The results obtained after making the necessary change in the electronics of the machine are shown in figure III.3.
For HSQ-based nanolithography, major efforts were placed towards the development of nanowire features between large pads (source and drain) that eventually would lead to a Si nanowire transistor. Different nanowire configurations were designed and realized on SOI wafers. An example of such realized features on Si can be seen in figure III.4.
The experience of fabricating these features has also led to the successful application of a method for the reduction of the E-beam time. This method can be applied within the data preparation software LayoutBEAMER from GeniSys that is designed to operate in conjunction with the E-beam tool. Since these structures combine large and small features (i.e. pads and nanowires) and the resolution required for the nanowires is quite high, the beam current should be low (no more than a few nA). This condition leads to increased exposure time, since large and small features are written both with a small current. The idea behind the exposure time reduction was to split the patterns in a fine and a coarse part, which are written with a small and large current, respectively. This splitting also called “Bulk-Sleeve” is illustrated in figure III.5. This approach can improve the exposure time by 90% without compromising the resolution needed. This method is now used in most of the exposures saving large amounts of E-beam time, thus increasing the throughput. Yet again, the success of this method is related to the tool stability in relation to drifts.

III.2 Nanostructuring of inorganic materials at the wafer scale

Here, our activities were focused on pattern transfer to the substrate either by an etching process or deposition and lift-off. For this purpose we continued initially with the use of patterns presented in the previous section or similar. For PMMA we have developed a plasma process to etch thin SiO2 layers in order to form hole arrays. One example was already shown in fig.1b where sub 20nm holes are fabricated onto a 20nm SiO2 film grown on a Si substrate. Some additional examples are illustrated in figure III.6. These hole arrays are covering an area of 1mm2.
The use of plasma etching along with PMMA is not considered to be the most popular approach. PMMA is known for its high-resolution but also known for its bad etch resistance. Nevertheless as shown above, with a relatively low powered plasma etching process (CHF3/Ar 25-25sccm, 30mTorr, 150W), one can have success in a reasonably good pattern transfer with PMMA as a mask.
Using similar patterns with PMMA, we have managed to perform lift-off of metal films. Two different dot diameters for the same density (pitch of 250nm) are shown in figure III.7. “Ears” around the metallic dots can be observed in Fig. III.7b which is a typical feature of lift-off when metal is also deposited on the sidewalls of the resist. In this particular example this is related to the sputtering deposition that has a more random character in the deposition direction and also to the E-beam tool, which at 100keV generates vertical resist sidewalls.
We have also explored the possibility of fabricating high aspect ratio vertical Si nanowires by transferring electron beam lithography patterns using high-density plasma. For this purpose we employed the negative HSQ resist to fabricate regular arrays of dots with a diameter of 200nm and a pitch of 1 and 2 μm. The samples were then treated by time multiplexed DRIE resulting in the formation of 40:1 aspect ratio Si nanowires as can be seen in Fig. III 8.
Until now we have addressed single layer lithography and a subsequent pattern transfer step. Even though these two steps are the basis of process development, real world situations for device building, require multilevel lithography and subsequent processing steps that are performed by the rest of the laboratory’s tools. Depending on the application, one can run all the required lithographic steps in the E-beam, or alternatively, share lithographic steps between the E-beam and the mask aligner (mix and match lithography). One of the more representative examples in this direction would be the fabrication of nanowire transistors on SOI wafers. For this purpose, a process was set and tested. It requires 5 lithographic steps, all performed in the E-beam, and processing steps of etching, doping and deposition, that are needed for the fabrication of transistors, leading to a total of 27 steps. Apart from the high-resolution features that are required for the nanowire formation, alignment is also crucial for the gate placement, which should be located over the nanowires leaving a gap of 50nm on both sides as can be seen in Fig. III.9b.
The third E-beam step aimed to define the geometry and location of the gate electrode. This step is considered to be the most demanding in alignment accuracy with a ±50nm error margin that is well above the tool’s spec (±15nm). The whole process has been tested and after some tuning we were able to build the gate stack as can be seen in Fig. III.11. The alignment error in this case is around 8nm in the Y direction, which is excellent considering that the 4 alignment marks are placed at the edges of a 3x2 mm2 rectangle around the main transistor cell, a geometry that can be considered quite relaxed. Again this alignment accuracy is very susceptible to the tool’s stability in terms of drifts caused by the temperature fluctuation of the cleanroom.

III.3 Mask template fabrication for nanoimprint lithography

For this task various test structures have been written on Si wafers with the E-beam tool and etched by plasma in order to create rigid stamps with a surface relief adequate for nanoimprint lithography. The stamps were also used for performing nanoimprint lithography in collaboration with the Catalan Institute of Nanoscience and Nanotechnology – ICN2 (collaborating laboratory within MiNaSys). For this activity we used PMMA resist to make lines and dots in various configurations. For the etching of Si, we applied an anisotropic plasma process already tested for Si nanowire formation with good results. SEM images of some of the fabricated stamps are shown in Fig. III.12. More specifically, in Fig. III.12a holes with a diameter of 180nm and a pitch of 200nm are depicted, while silicon fins of 20nm with a 300nm pitch are shown in Fig. III.12b. These structures are etched in Si at a depth of 150nm.
An example of nanoimprint lithography using the stamp of Fig. III.12a is illustrated in figure 13. The pattern found on the stamp was replicated successfully with no particular issues.
The recruited researcher from the project involved in the above Section III was Dr. A. Olziersky.

SECTION IV Microfluidics and Lab-on-a-chip related activities (M15-M36)

These activities aimed at tuning-up the technologies developed at IMEL in patterning and surface modification of thick polymeric resists and polymeric substrates for the development of disposable microfluidics, labs-on-a-chip and biomicrosystems. They were conducted by the recruited experienced researcher (ER4), Dr. Katerina Tsougeni. Focus was placed on providing optimal lithographic processes which had 1) good resolution and 2) good plasma etching resistance and were not deformed in the subsequent etching step, 3) were strippable after etching so that thermal bonding could follow on clean and smooth surface, 4) made use of a stripper that NOT damage the substrate. We have first focused her work on providing such lithographic and etching processes and a method for thermally bonding patterned organic substrates, which is simple to implement and cheap to perform for use in microfluidic devices (M15-M18, see D3.3.1). During the next period (M19-M36), she reinforced these exploratory activities by investigating different materials and processes taking into account the above requirements. Four basic subtasks have covered her overall activities (see also Internal Report IR3.3.4) including: Task 1 -Thick photoresist patterning, and pattern transfer to polymeric substrates, Task 2: Deep hot embossing of polymers and thermal bonding and sealing of microchannels, Task 3: Surface roughening and wetting control after plasma etching, Task 4: Applications and External Collaborations.

IV.1. Thick photoresist patterning, and pattern transfer to polymeric substrates

a) Strippable thick resists on polymers
Several photoresist and lithography schemes have been evaluated. Different kinds of photoresists have been tested: AZ 15nXT (negative) and AZ 9260 (positive) from MicroChemicals (a distributor of Clariant) and maP-1275 (positive) from Microresist.
The AZ 9260 and AZ 15nXT photoresists are designed for more demanding high-resolution thick resist requirements. AZ 9260 is available for film thicknesses up to 24 μm. AZ 15nXT is available for film thicknesses up to 20 μm. ma-P 1275 is available for film thicknesses up to 30 μm with resolution ~5 μm for film thickness 14 μm.
The lithographic exposures were carried out on a Karl-Suss MJB 3 STD Mask Aligner model using a broadband exposure lamp. Plasma processes were performed in a Micromachining Etching Tool (MET) by Alcatel, equipped with a helicon source (at 13.56 MHz) providing RF power up to 2000 W. Device characterization was performed by means of a JEOL JSM-7401F FEG SEM scanning electron microscope. The etching rate of (a) Az9660 is 729 nm/min, (b) Az15nXT is 648 nm/min and (c) ma-P 1275 is 800 nm/min. Therefore for PMMA a typical selectivity with (a) Az9260 is 1.2:1 (b) Az15nXT is 1.4:1 (c) maP-1275 is 1.1:1 i.e. a resist thickness equal to etch depth is needed.
Our conclusion was that all materials behaved reasonably well in lithography, with AZ9260 showing the best resolution. However in deep O2 plasma etching the resists do not show high etch resistance and the patterns tend to melt due to heating. In addition maP-1275 films form bubbles after the plasma processing probably due to N2 release as a result of exposure to the plasma UV radiation and in situ heating.
a) Bilayer Si containing photoresist processing on polymeric substrates
A strippable Si containing Photoresist has been evaluated. 1) The substrate was coated with a bottom release layer (LOR=Lift-Off-Resist). 2) The Silicon photoresist (Ormocomp) was coated on the underlayer. The or-mo-cers (organic modified ceramics) are materials from the sol-gel family. These materials consist of an inorganic (SiO2) network with organic parts which can be cured by light. (both LOR and Ormocomp are from MicroResist Technology). 3) Lithography was done on the stack LOR layer / Ormocomp. 4) Plasma etching followed for microchannel definition, and 5) Removal of the stack was done with lift-off: The removal of the system (LOR-photoresist) can be easily achieved using weak alkaline solutions or even pure water (pure water does not work after etching), thus the technology is applicable even to extremely sensitive polymeric substrates.
The optimum process for the system LOR/Ormocomp is: 1) O2 plasma treatment of the PMMA substrate at mild conditions prior to spinning (RIE 100 mT, 100W, 30 s); 2) Spin coat LOR 10B 300 rpm 10 sec, 1000 rpm 30 sec and bake 100 oC for 30 min. 3) Spin coating ormocomp photoresist 7200-7400 rpm 70 sec, and bake at 80 oC for 4min. 4) Expose for 7s in old mask aligner, and develop for 30s in MIBK:IPA 1:1. We note that for shorter exposure times, the film does not have adhesion to the substrate, while at longer exposure times cross-linked residues are remaining in the exposed areas. 5) Pattern transfer is done by O2 plasma etching (ICP, - 20 C, 1900W, 0.75Pa -100V, 100 sccm). 6) Lift off process of the system LOR/Ormocomp TMAH 0.26N is done for 1h, or for 20min if ultrasonic agitation is used (not recommended for PMMA).
High selectivity was obtained after plasma etching because of the differential plasma etching rate of the organic polymer and the mask (ORMOCOMP) during O2 plasma etching. The etch resistance of ORMOCOMP is attributed to the surface oxide layer formation, during the first seconds of the oxygen plasma treatment. The etching rate of ORMOCER photoresist is 25 nm/min after an initial 120 nm thickness loss during the first minute. Therefore for PMMA a typical selectivity with ORMOCER is >30:1, i.e. etch 30 μm PMMA with 1 μm resist (see Fig. IV.2).
We concluded that this resist is a good candidate for patterning of polymeric substrates. Care should only be taken so that contactless or soft contact is done with the mask, since Ormocomp is very soft before exposure and can stick to the mask.
a) Metallic hard etching masks on polymeric substrates.
Metallic hard masks provide outmost plasma resistance, but on the other hand, impose difficulties and complexity (wrinkles, curvatures etc), while sputtering of their surface contributes to channel roughness. In addition, use of metallic masks increases process complexity, unless the metal is pre-deposited on the polymer sheet. Also the Al etchants are harsh and attack the organic polymeric substrates, such PMMA or Poly (ethylene terephthalate) PET. COP or PEEK substrates may be used due to their high chemical resistance.
A 200 nm Al mask was deposited on COP substrates by thermal evaporation. The Al layer was then patterned by conventional photolithography using Az5214 photoresist (positive, 5000 rpm, 6 s), followed by 2 min wet etching in H3PO4:IPA solution to form the microchannel patterns on the polymeric substrate. Figure IV.3 below illustrates an SEM image of the micro patterns after (a) lithography and (b) O2 plasma etching.

IV.2. Deep hot embossing of polymers, thermal bonding and sealing of microchannels

a) Fabrication of Si Master by lithography and wet etching–Hot embossing of PMMA substrates
A master in Si was first fabricated by wet etching. 800 nm tetraethyl orthosilicate (TEOS) oxide layer was deposited on the Si wafer by CVD. Densification of the TEOS oxide was performed at 900 ºC for 15 min. The oxide layer was then patterned by conventional photolithography followed by wet etching in buffered HF (BHF) to form the microchannel pattern on the Si master. Before dipping the Si wafer in anisotropic etching solution (KOH), the native oxide was removed from the surface by rapid dipping in BHF solution followed by rinsing in de-ionized (DI) water. A 44% w/w KOH solution was prepared to provide maximum Si etch rate of 1.4 μm/min at 80oC. After the anisotropic (wet) Si etching step, and the stripping of the oxide masking layer, a 4.5 x 1.5 cm2 Si master with a beam of trapezoidal cross-section was obtained. The master was used to define the microchannels in PMMA by means of hot embossing. Before embossing, the master surface was coated with a fluorocarbon layer deposited by means of C4F8 plasma, to prevent sticking of the master on the polymeric substrate (alternatively coating with fluorinated chlorosilanes can be used, see below section b). After hot embossing, the inlet/oulet holes were drilled in PMMA for the fluidic interconnections. Subsequently, the microchannels were cleaned with isopropanol in an ultrasonic bath for 2 min. For hot embossing PMMA substrates, a hydraulic press (Carver model 3850 CE) was used, with the Si master and the PMMA substrate sandwiched between two Si substrates to avoid sticking of the polymeric substrates to the machine plates. The conditions used were: pressure of 2.6 MPa and temperature of 130 oC for 5 min. Demolding temperature was set at 90 oC, and then the press was cooled slowly to room temperature. A final sealing is done by pressure sensitive adhesive lamination film 9795 from 3M at room temperature. The fabrication process of the microchannels in PMMA is shown schematically in Fig. IV.4a. Microchannels of trapezoidal cross-section are fabricated in PMMA following the process described above and shown in Fig. IV.4b.
b) Fabrication of Si Master by lithography and dry etching–Hot embossing of COP substrates
A silicon master for embossing the polymeric sheet was fabricated with plasma etching using the Bosch process (ICP 1800W, 5.3 Pa, -70V bias, 20oC, 172sccm SF6/ 110sccm C4F8 7s/3s cycles respectively). After the etching step 8-25 μm deep holes (200s-600s etching) were fabricated in the master, which defined the pillars after embossing the polymer. After etching the resist was stripped for 10min in acetone/ultrasonic, 10min isopropanol / ultrasonic, piranha 1:3 (H2O2:H2SO4), and the master was treated also in oxygen plasma 10min RIE 100mTorr, 100W.
Prior to hot embossing the master was coated with a fluorocarbon antisticking layer. Rather than plasma depositing the layer we used perfuoro-octyl-thrichlorosilane (FOTS) 1mM in cyclohexane (for 10ml solution use 4μl of FOTS). The master was dipped for 1h in the FOTS solution, washed in cyclohexane, water and ethanol and dried. While the plasma deposited fluorocarbon can protect the master for 1 embossing cycle, the FOTS layer can withstand more than 5 embossing cycles.
The process for fabrication of COP chromatography microcolumn on a 188μm sheet is shown in figure IV.5. A SEM picture of the fabricated master is shown as an insert in Fig. IV.5. The COP sheets were embossed using a Carver press, placing the master and the polymer sheet between two Si wafers to avoid adhesion to the machine plates. Embossing conditions used were 3.28MPa at 170oC for 15 min and cooling to 90oC for COP. Then holes were drilled in the sheet for the inlet and outlet.
Thermal bonding with a COP sheet was done using 10MPa pressure, 113 oC, for 30min (a short O2 plasma step in RIE 100mTorr, 100 W for 10s may improve bond strength). Figure IV.5 depicts top down images of the micro-column, after plasma-assisted thermal bonding with the COP lid and filling with a red-dye is also shown as inserts. Figure IV.6 shows tilted SEM images of COP microcolumns (angle 70o) with different depths: (a) 8 μm, (b) 15 μm, and (c) 28 μm after hot embossing.

IV. 3. Surface roughening and wetting control after plasma etching

We have up to now shown that plasma processing is generic technology to fabricate, roughen, and control the wetting properties of microfluidic devices. After lithography on poly(methyl methacrylate) (PMMA) or PEEK substrates, deep anisotropic O2 plasma etching was utilized to pattern microchannels, at conditions resulting in very rough bottom walls. Where desirable, the rough surfaces were hydrophobized by means of a C4F8 plasma deposition step through a stencil mask creating superhydrophobic and hydrophilic stripes. Following plasma etching high aspect ratio rough random pillars with height ranging from 16 nm to several microns resulted depending on the processing time. The contact angle (CA) was close to 0o after O2-plasma treatment or CA of 153o (with CA hysteresis lower than 5o ) after fluorocarbon deposition. Super hydrophobic surfaces are robust and stable in time; in addition ageing of super hydrophilic surfaces is significantly retarded due to the beneficial effect of the nanotextured topography (Further information: K. Tsougeni et al. Sensors & Actuators B 161, 216, 2012).

IV. 4. Applications and external collaborations

Application 1: Flow study in randomly-rough superhydrophilic, and superhydrophobic plasma-nanotextured micro-channels using Micro-PIV
IMEL researchers conducted additional development activities in collaboration with Prof. D. Mathioulakis from National Technical University of Athens (NTUA), in order to examine fluid flow through the here above fabricated microchannels.
Plasma processing was used to roughen (texture) and control the wetting properties of polymeric (Poly(methyl methacrylate)-PMMA) microchannels from superhydrophilic to superhydrophobic, depending on the plasma duration and chemistry. Particle Image Velocimetry (PIV) was then employed to monitor the velocity field, and observe the different flow behaviour in the three wetting states during water flow.
Using the ensemble PIV processing tool of the available software, a few hundreds of images were analyzed in order to obtain the time averaged velocity field at various depths of each channel. The effect of surface wettability on velocity profiles is presented and discussed. Briefly, the velocity profiles of the superhydrophobic channel close to the bottom wall of the trapezoid were flat, and exhibited higher velocities compared to the corresponding hydrophilic channels with parabolic profiles.
In this work, in order to enhance the effect of surface superhydrophobicity on the velocity profiles, microchannels with three superhydrophobic walls are fabricated and velocity profiles in such microchannels are evaluated. PIV measurements are conducted in plasma-treated, nanotextured, and untreated microchannels using a commercial micro-PIV system (TSI Inc.). Using the ensemble PIV processing tool of the available software, two hundred images are analyzed for each case in order to obtain the time averaged velocity field at various depths of each channel. The effect of surface wettability on velocity profiles is presented and discussed.
Micro-PIV measurements
The velocity field within 40 mm long microchannels of trapezoidal cross section were conducted through microPIV for a flow rate of 5μl/min. The velocity field at a depth of 30μm (measured from the small side of the cross section) is shown in Fig. IV.8 for a superhydrophobic channel and in Fig. IV.9 for a superhydrophilic channel. The channel wall is located at the left side of the figures IV.8-9.
Based on these Figures, it is obvious that the velocity close to the wall is higher (between 10% at the channel center and 40% close to the wall) in the superhydrophobic channel compared with the superhydrophilic channel. Moreover, in order to compare the velocity profiles for the two types of channel surfaces, the spatially mean velocity profiles were calculated (Figure IV.10) as well as the theoretical profile based on the commercial software ANSYS-FLUENT. The last three profiles were normalized by their maximum values to facilitate comparisons and they are presented in Fig. IV.11. From the latter, it was concluded that the superhydrophilic case is closer to a smooth surface channel (CFD result) at least in a region close to the wall. More measurements are underway in order to have a complete comparison and in order to estimate the slip lengths.
The above work was recently presented (K. Tsougeni, K. Ellinas, A. Glynou, T. Christoforidis, D. S. Mathioulakis, A. Tserepi, E. Gogolides) at the Third European Conference on Microfluidics, Heidelberg, December 3-5 2012, (http://www.microfluidics2012.eu/)
Application 2: Flame Aerosol Deposition of TiO2 Nanoparticle Films on Polymers and Polymeric Microfluidic Devices for On-Chip Phosphopeptide Enrichment.
The microchannels developed were used for the development of devices with applications in proteomics. These new IMEL activities were conducted in collaboration with Prof. S. Pratsinis at ETH Zurich.
Direct and fast (10s of seconds) deposition of flame-made, high surface-area aerosol films on polymers and polymeric microfluidic devices is demonstrated. Uniform TiO2 nanoparticle films were deposited on cooled Poly(methyl methacrylate) (PMMA) substrates by combustion of titanium(IV) isopropoxide (TTIP) – xylene solution sprays. Films were mechanically stabilized by in-situ annealing with a xylene spray flame. Plasma-etched microfluidic chromatography columns, comprising parallel microchannels were also coated with such nanoparticle films without any microchannel deformation. These microcolumns were successfully used in metal-oxide affinity chromatography (MOAC) to selectively trap phosphopeptides on these high surface-area nanostructured films. The chips had a high capacity retaining 1.2 μg of standard phosphopeptide. These activities have led to a new extremely fast method for MOAC microchip stationary phase fabrication with applications in proteomics (see, Rudin, T., Tsougeni, K., Gogolides, E., Pratsinis, S.E. Microelectronic Engineering 97, (2012) pp. 341-344).

Application 3: High Capacity and High Intensity DNA Microarray Spots Using Oxygen-Plasma Nanotextured Polystyrene Slides.
Dr. Tsougeni was also involved in the development of plasma nanostructured polystyrene slides with applications to protein detection. These new IMEL activities were conducted in collaboration with researchers from Project I.2 as well as with Dr S. Kakabakos and Dr. P. Petrou from IRRP in NCSR Demokritos.
Basically, commercially available polystyrene (PS) slides were plasma nanotextured (nano-roughened) through treatment in oxygen plasma discharges to create substrates with increased surface area for microarray applications. Conditions of plasma treatment were determined for maximum and uniform oligonucleotides immobilization on these nanotextured PS slides. Oligonucleotides were immobilized onto the surface in the form of biotinylated oligonucleotide/streptavidin conjugates to take advantage of increased protein binding capacity of the substrate. It was found that the amount of oligonucleotides that could be immobilized was increased up to 10 times on plasma treated as compared to untreated slides. The sensitivity of detection of labelled hybridized probes was improved by a factor of 20. Optimized nanotextured PS slides were subsequently used to develop a microarray for the detection of three deleterious BRCA1 gene mutations by immobilizing oligonucleotides corresponding to wild and mutant type sequences. The microarray developed on the nanotextured PS slides provided higher specific hybridization signal and discrimination ratios as compared to flat untreated PS slides (see, Tsougeni, K., Koukouvinos, G., Petrou, P.S. Tserepi, A., Kakabakos, S.E. Gogolides, E., Analytical and Bioanalytical Chemistry, 403 (9), (2012) pp. 2757-2764).
Application 4: Cyclo olefin polymer (COP) liquid chromatography microcolumns for reversed phase separations
In this section our work has also contributed to increase the know-how of IMEL in the development of chromatography micro-columns on COP sheets for applications to the separation of biological mixtures. These activities were conducted in collaboration with Prof. H. Archontaki for the National Kapodistrian University of Athens (NKUA).
Liquid chromatography (LC) is a widespread separation technique. Thus, miniaturization of LC on polymeric disposable substrates is of great interest for on-chip separations. Recently, Illa et al. [Lab Chip, 2009, 9(11), 1511-1516] hot-embossed a cyclo-olefin polymeric substrate and fabricated a chromatography column. Cycloolefin polymers have several advantages over Si including high transparency, lower cost, optical clarity, low autofluorescence, and strong chemical resistance, for example to solvents used as mobile phase for LC. In this wok COP sheets (ZeonorFilm, ZF 14-188 μm) provided by Zeon Corporation were used.
Recently, IMEL's researchers have presented an affinity TiO2 micro-column consisting of 32 parallel micro channels, which were fabricated by direct lithography and plasma etching on polymers [see, K. Tsougeni et al. Lab chip, 2011, 11, 3113-3120 as well as T. Rudin et al., Microelec. Eng., 97, 341-344, 2012], and used for the enrichment and separation of phosphopeptides (see also application 3). Dr. Tsougeni was involved in the pioneering work recently conducted at IMEL regarding the fabrication of a reversed phase (RP) chromatography micro-column on COP and the integration with a TiO2 affinity chromatography microcolumn for the separation of complex biological mixtures.
The (RP) chromatography column consists of an array of ordered pillars, 4 cm long and 320 μm wide, with common input and output ports, and is fabricated by two alternative techniques: i) hot embossing using a Si master or ii) lithography directly on COP followed by plasma etching (i.e. standard MEMS processing). The chip is sealed with plasma and pressure assisted thermal bonding. Embossing and bonding was easier on COP sheets compared to COP plates, since the latter did not have the required flatness. However, direct lithography and plasma etching was easier on COP plates having the size of a Si wafer.
Figure IV.14 shows the chromatogram of individual components 1) sodium benzoate, 2) acetaminophen, 3) methylparaben, 4) propylparaben. The mobile phase was a mixture of water:acetonitrile 80/20 v/v. The mobile phase velocity was calculated 3.7 mm/s. As can be seen the polar substances such sodium benzoate and acetaminophen are not retained in the column. The nonpolar substances such methylparaben and propylparaben are retained.
The recruited from the project researcher involved in Section IV activities was Dr. K. Tsougeni.


Potential Impact:
For the last few decades, Integrated Circuit technology has enabled a whole new set of products addressing people needs either as citizens, or as consumers. Advanced electronics based on dense logic and analog solid state circuits made possible high performance medical diagnostics, personal computers, the internet functions and complex interactive communications, the mobile phone and other devices of personal assistance. At the same time techniques borrowed from IC technology created the MEMS field. Here miniaturized devices performing mechanical, optical or biological functions could be produced through the massive scale techniques of Microtechnology as a result of the parallel nature of multi-chip-wafer processing. The small size and the large through put production results in affordable micro-components that find applications in every day life either as monitors of environmental parameters, as personalized health care devices, by improving car safety etc. It is to be noted that if such devices were to be produces by conventional mechanical engineering and standard machine tools, the goal of affordability would be hard to materialize. In this sense, microsystems technology has created a new industrial sector that produces microdevices performing complex functions at affordable prices and in addition to addressing certain needs of the average person creates new employment opportunities in an rapidly expanding field. The European Union is well placed as a global competitor into this scientific and technological field and enjoys a considerable share of the entire semiconductor market. However most of the activity in Europe is concentrated in countries with large silicon fabrication facilities of mainstream IC’s. The advent of microtechnology based products provides for new opportunities in other EU countries and regions with no standard IC industry to enter in the microproducts market with facilities that are no prohibitively expensive as the large silicon foundry. Especially in Greece where the traditional sources of wealth, tourism and the overseas commercial fleet, have reached saturation levels even prior to the current economic depression, the creation of new small and medium sized companies in the microtechnology area is critical. This way smart ideas developed by spin-off companies and talented young researchers can materialize into competitive miniaturized products, enhancing integration with the heavily industrialized countries the semiconductor companies of which direct their main effort in mainstream electronics products. In this context, the present project aims at expanding the research and training capacity of the Microelectronics Institute of NCSR “Demokritos” in the nano-electronics, so that the objective European Integration is served and regional development is also enhanced. The expansion in the nanodevice area is pursued through a coordinated set of activities including purchase of high resolution lithography and pattern transfer equipment as well as training of technical staff and hiring of highly qualified research personnel in the field of nanopatterning-nanodevices.

UPGRADING THE RTD and HUMAN POTENTIAL
One of the main limiting factors on the performance of microtechnology products is the minimum feature size especially in MEMS devices integrating high performance optical and RF functions or deep submicron size electron devices geared towards sensing applications. The long sought extension of Microtechnology to Nanotechnology is being currently materialized either through deep UV and beyond UV optical lithography or through high performance direct write e-beam tools. Such lithographic tools allow deterministic top-down approaches in nanofabrication which are required if new products are to emerge in addition to basic research.
The ability to include devices with critical dimensions below 100 nm has open the performance potential to unexplored territories. Examples include finely tuned optical circuits (like ring resonators) where the critical dimension are well below half-wavelength, RF devices with built-in monolithic microwave integrated circuits, fine geometry microcantlivers with resonance frequencies in the gigahertz area, quantum-wires or nanowires with transfer characteristics depending on the nanometer sized local potential, and many others.
Already existing Microtechnology facilities can greatly enhance their application potential by extending their lithography tools in the nanometer range, the e-beam lithography being more affordable and more flexible and versatile, especially for a small to medium facility, compared to production UV steppers. This is exactly the case of the Microelectronics Institute of NCSR “Demokritos” which is an established player in the European Microtechnology area and feels the need to expand in nanotechnology to capitalized on advanced nanodevices and their opportunities. The Institute’s expertise in high resolution lithography and high performance microdevices can materialize through top-down e-beam tools to produce practical functional nanodevices as well as basic research. The inside in high resolution e-beam lithography was gained through a mix of theoretical background of the institute lithography group and, so far, the employment of used equipment. New and modern e-beam and pattern transfer machines will give the institute the opportunity to exploit its expertise, to excel in nanoscale science and engineering and integrate into the EU nanotechnology research area. Finally, will provide new researchers with the background and ideas to create new companies in the microtechnolgy area or expand the horizons of existing companies to the new frontiers of micro-nanodevices.

CONTRIBUTION TO REGIONAL, ECONOMIC AND SOCIAL DEVELOPMENT

Due to social, environmental and demographic developments there is an increasing demand for efficient monitoring of pollutants in urban and public areas, stricter safety measures in work places, and effective and affordable preventive health care using the best available technologies, instrumentation and innovative methods. Portable, stand-alone or desk-top physical, chemical and biochemical analysis microsystems can transform public safety, environmental monitoring and health care. Lithographic technology and micromachining has the potential of implementing of “lab-on-a-chip concepts” with increased functionality, multianalyte capabilities and point-of-use performance. Thus, it directly affects strategic areas such as patient
monitoring, clinical diagnostics, dangerous gas detection, environmental monitoring, drug screening and molecular biology. The new design and application areas evolving from Microsystems technology help in the creation sustainable employment and economic expansion. In a modern and globalized economy creation of new jobs in a sustainable way can only succeed through innovation and production of high-quality products and services. Research investments, training and education in emerging fields is synonymous to creation of new employment opportunities. Although, technological innovations can temporarily cost jobs, the balance in the long run is positive in secure long-term jobs because of the opening up of new markets.
Modern microsystems based on micro- and nanotechnology are clearly an outcome of multidisciplinary work in a manifold of areas including microelectronics, materials engineering, physics, chemistry, surface science and biology. They integrate mechanical elements, optical components and electronic devices on a single semiconductor substrate by making use of microfabrication technology. To achieve the co-integration of standard electronics with micromechanical and micro-optical components, micromachining techniques compatible with IC technology are employed. Micromachining technology personalizes Micro-Opto-Electro- Mechanical chips by the selective removal of silicon bulk or add-on layers to create three-dimensional structural components with certain mechanical, electromagnetic or thermal degrees of freedom that enable sensing or actuating functions. The ability to include, in addition to micrometer sized devices, nanopatterned structures expands to microsystems potential in the field of micro-optics, finely tuned waveguide engineering, optical circuits and networks as well as electromagnetively coupled devices in the microwave and millimeter wave ranges. Such optical and electromagnetic devices exhibit superior performance in bio-chemical detection applications or other type of applications requiring interferometry for best results.
The co-integration of silicon IC fabrication process with micromachining technology, permits the realization of system-on-a-chip or lab-on-a-chip with real time sensing functions and control actions. Micromachining based microsystems expand the computational power of standard IC through the perception and control capabilities of microdevices and create new design and application areas iincluding bio-chemical functions. The monolithic integration of such microdevices with chemical and biological probes is a long sought goal of the analytical community since hybrid integration is expensive, complicates packaging and becomes impractical in multifunctional devices. The difficulty originates in unresolved manufacturability issues relating to the high temperature processing of semiconductors, strict process requirements and use of chemicals that are hostile to soft matter. Considering the recent developments in polymer film delivery methods, biomolecular spotting techniques, optical and micro-wave device integration on silicon through back end processing, the opportunity opens that the above mentioned material and device structures can be co-integrated with CMOS devices in the near future.
Currently, bioanalytical devices emerge as part of the scientific gear of everyday life taking a place among the more traditional scientific gadgetry measuring physical observables like thermometers, barometers or hygrometers. The societal roots for this development lie in the well being awareness and the realization that biological parameters interplay with physical in defining our natural environment. Advances in basic sciences and engineering and the synergy between microtechnology and biotechnology provided the necessary technology that allowed intelligent microchips or bare slides to carry quantities of biological information. The information is encoded as arrays personalized with a variety of biomolecules and tailored towards a specific application range. Bare slides carrying an enormous amount of oligonucleotides are available for genomic studies. Dense bioarrays of this type are read by desktop laser scanners. Alternatively, electronic chips spotted with fewer biomolecules but with integrated readout and microfluidics are more suitable for point of care determinations. The physical transducer to be realized through microtechnology depends on the application, sensitivity reusability and cost issues. They include devices simple to manufacture, like a spotted slide read by epifluorescence microscopes or scanners as is the case in DNA arrays employed in the specific mutation detection, to sophisticated lab-on-a-chip bioanalytical set-ups for point of care applications. Electrochemical devices tend to be simpler in manufacturing compared to the optical ones where the coupling of light sources is always a critical issue. However, optical devices are inherently more expandable to array based formats and can interrogate the same biological by a large number of biomolecular with the least interference. Mechanical devices, like cantilivers or surface acoustic wave sensors, have potential to provide label free answers but to a more limited number of probes.
In all cases integration of microfluidic and readout functions by micro/nano technology techniques increases usability, performance and suppresses total costs.
For countries like Greece, where there is no traditional heavy industry and no mainstream semiconductor foundries, the advent of MEMS/NEMS technology opens up the opportunity to develop new small to medium facilities to produce microproducts in replacement of traditional technology commodities without the need of exceedingly large capital investment. Smart ideas developed in the laboratory cam materialize into products, or components of a larger commercial entity, by young researchers through micro/nanotechnology and with modest capital investments. This is a way out of the current economic stagnation observed in Greece where tourism and standard commercial activities can lo longer provide adequate new jobs to new people, especially of higher education, entering the employment market.
As already mentioned the Institute of Microelectronics has played during the last ten years an important role in supporting high tech industry in the country by providing not only research support but also providing specialized personnel. If this project is successful we anticipate that we shall amplify this effect since industrial activities are steadily growing in the country and their research requirements are increasing. We report again at this point that private companies involved in the design of integrated circuits together with MEMS related companies have created the Hellenic Semiconductor Association that has actually more than 60 members. On the other hand new investments in solar cell industry with a need in related with silicon materials are developed in the country that needs personnel on understanding material and device physics and technologies. For these reasons we expect that supporting this project will have a propagating influence on regional development.

DISSEMINATION ACTIVITIES AND EXPLOITATION OF THE RESULTS
An interview of Prof. Dimitris Tsoukalas was published in the Public Service Review, European Science and Technology, issue 10, 2011 page 100. The interview is in the context of a focus in Greece and shows the profile of IMEL. The title of the article is Expanding micro and nanotechnologies.
http://edition.pagesuite-professional.co.uk/launch.aspx?EID=9a784c35-88a7-4b84-ab15-5090c841252b

An interview by Dr Nikos Glezos and Dr Dimitris Tsoukalas was published in the International Innovation Eurofocus issue of March 2013 page 106 with the title: Infrastructure for Excellence, Giant leap in microscopic technologies.
http://www.international-innovation-eurofocus.com/Default.asp

Before the e-beam installation an announcement of the e-beam acquisition was made shortly after the end of the international bid in cooperation by VISTEC. This joined announcement was hosted by several international web sites as can be seen in the links below:
this link is not active anymore
http://www.fabtech.org/news/_a/tool_order_greek_nanotechnology_centre_selects_100kv_lithography_system_fro/
http://www.nanotech-now.com/news.cgi?story_id=41867

Significant information distribution mainly started after the installation of the e-beam system. Companies were informed through announcements in international technical webpages and magazines and by e-mails. Universities were mainly informed through e-mails, and workshops organized and reported in Deliverable 4.5.1 and 4.5.2. An example e-mail for the dissemination is shown in appendix 1. For both, companies and universities the web site was also used as a means of information distribution.

The most important actions were taken to disseminate the information to companies and academic institutions took place

1. Sponsoring and advertisement during the Eurosensors Conference organized in Athens in 2011, where IMEL had a booth and advertised its activities and the Mynasys Project. http://www.eurosensors2011.org/ υπάρχει καποια φωτογραφία?
2. Sponsoring and participation with a booth in the "ΝΑΝΟΤΕΧ 2011 and 2012?????" exhibition during the conference Nanotexnology 2011 and 2012 in Thessaloniki Greece. IMEL had a booth there
www.helexpo.gr/default.aspx?lang=en-US&loc=1&page=1843
υπάρχει καποια φωτογραφία?
3. During the Micro and Nano Conference in Crete the e-beam operator scientist gave a talk and showed the video of installation and operation of the tool. The video is on the web page of the project.
The presentation (see abstract below) made an excellent impression and attracted a lot of interest from the mainly Greek and southern European scientists and company representatives
A new 100 KeV electron beam lithography tool at NCSR Demokritos: True Nanopatterning capacity in Greece
Antonis Olziersky1,*, , Athanasios Smirnakis1, Angelos Zeniou1, Ioannis Raptis1, Nikos Glezos1, Evangelos Goggolides1,Pascal Normand1, Dimitris Tsoukalas2
1NCSR Demokritos, Department of Microelectronics, Institute of Advanced Materials, Physicochemical properties Microsystems and Nanotechnology, Patriarchou Gregoriou & Neapoleos, 15310, Agia Paraskevi, Athens, Greece
2Department of Physics,
School of Applied Mathematical
and Physical Sciences
National Technical University of Athens
Zografou Campus, 15780,
Zografou Attikis, Greece


List of Websites:

www.imel.demokritos.gr/projects/minays