Skip to main content
European Commission logo print header

Fundamentals and applictions of laser processing for highly innovative MOS technology

Objective

The FLASH project is intended to provide leading-edge European contributions to the priority topic of processes and equipment for the 70 nm CMOS technology. This task will be pursued by studying the feasibility of the excimer laser annealing process and its integration with advanced device processing. The extensive theoretical and experimental work, proposed on the use of laser for the fabrication of semiconductor devices, will have a decisive role in the development of nano-technology. The network formed to run the project has been designed to assure European exploitation paths within the semiconductor industry. The project FLASH intends also to set up reliable simulation software, able to reproduce the effects generated by the interaction of the laser beam with device structures and doped layers. The project aims to go beyond the state of the art technology in order to provide a breakthrough in the integrated circuits scenario. The FLASH project is intended to provide leading-edge European contributions to the priority topic of processes and equipment for the 70 nm CMOS technology. This task will be pursued by studying the feasibility of the excimer laser annealing process and its integration with advanced device processing. The extensive theoretical and experimental work, proposed on the use of laser for the fabrication of semiconductor devices, will have a decisive role in the development of nano-technology. The network formed to run the project has been designed to assure European exploitation paths within the semiconductor industry. The project FLASH intends also to set up reliable simulation software, able to reproduce the effects generated by the interaction of the laser beam with device structures and doped layers. The project aims to go beyond the state of the art technology in order to provide a breakthrough in the integrated circuits scenario.

OBJECTIVES
Aim of this project is to provide the experimental and theoretical assessments needed to support the application of the excimer laser annealing (ELA) method to the future MOS technology. This innovative process might represent a breakthrough for the formation of ultra-shallow abrupt junctions with high electrical activation, but exhibits severe technology integration issues. Besides the experimental investigations, we intend to develop computational tools capable of simulating the micro structural kinetics following the laser induced melting and leading to the recover of crystalline order. The FLASH project has therefore two inter-related specific objectives: the feasibility study of the application of the ELA method to the fabrication of 70 nm CMOS and the production of a software capable to simulate the interaction of the laser beam with a silicon device. The companies participating to the project will use the experimental results to support their equipment and process development.

DESCRIPTION OF WORK
The interaction of the laser light with the silicon material, with appropriate wavelength and fluency, induces melting of silicon. The diffusivity of dopant is extremely high in liquid silicon, ~ 2x10-4 cm2/s, therefore it redistributes almost uniformly within the melted layer, up to the interface between the solid and liquid silicon. Potentially this method is attractive to meet the extreme performances of the junctions required for the future generations of the silicon devices.
However, a major issue related to the ELA method remains its integration with the process steps and the materials used for the device fabrication. An intense activity will be devoted by this consortium to the study of the interaction of the laser beam both with the doped silicon and with the structures forming the device, like dielectrics, metallization and polycrystalline silicon. Structural analysis (TEM) will be performed, as well as one (SRP, SIMS) and two-dimensional (2D-TEM) doping profiling investigation will be used to obtain data on the vertical and lateral diffusion of the doping impurities beneath a mask. Furthermore, we intend to develop computational tools, capable of simulating the effect of the interaction between the laser beam and the silicon device, the evolution of the thermal field in the device structure during ELA and the subsequent quenching and the overall material modification as consequence of the ELA process. With respect to this issue, ab initio, molecular dynamics and Monte Carlo studies will be performed. Finally, we plan to realize MOSFET devices with a channel length shorter than 200 nm by ELA. For these devices, each physical/chemical modification will have to be considered, directly caused or induced as secondary effect by radiation exposure. Moreover, for industrial applications of laser annealing, process repeatability is obviously important. Therefore, partial modules will be used to study the dispersion induced by ELA on the device parameters.

Call for proposal

Data not available

Coordinator

CONSIGLIO NAZIONALE DELLE RICERCHE
EU contribution
No data
Address
PIAZZALE ALDO MORO 7
00185 ROMA
Italy

See on map

Total cost
No data

Participants (3)