Skip to main content
European Commission logo print header

RESOURCE-EFFICIENT SENSING THROUGH DYNAMIC ATTENTION-SCALABILITY

Publications

Optimized Hierarchical Cascaded Processing

Author(s): Koen Goetschalckx, Bert Moons, Steven Lauwereins, Martin Andraud, Marian Verhelst
Published in: IEEE Journal on Emerging and Selected Topics in Circuits and Systems, Issue 8/4, 2018, Page(s) 884-894, ISSN 2156-3357
Publisher: IEEE Circuits and Systems Society
DOI: 10.1109/jetcas.2018.2839347

Vocell: A 65-nm Speech-Triggered Wake-Up SoC for 10-$\mu$ W Keyword Spotting and Speaker Verification

Author(s): Juan Sebastian P. Giraldo, Steven Lauwereins, Komail Badami, Marian Verhelst
Published in: IEEE Journal of Solid-State Circuits, Issue 55/4, 2020, Page(s) 868-878, ISSN 0018-9200
Publisher: Institute of Electrical and Electronics Engineers
DOI: 10.1109/jssc.2020.2968800

GRAPHOPT: constrained-optimization-based parallelization of irregular graph

Author(s): Nimish Shah, Wannes Meert, and Marian Verhelst
Published in: IEEE Transactions on Parallel and Distributed Systems, 2022, ISSN 1045-9219
Publisher: Institute of Electrical and Electronics Engineers
DOI: 10.1109/tpds.2022.3151194

Optimized Hierarchical Cascaded Processing

Author(s): Koen Goetschalckx, Bert Moons, Steven Lauwereins, Martin Andraud, Marian Verhelst
Published in: IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 2018, Page(s) 1-1, ISSN 2156-3357
Publisher: IEEE Circuits and Systems Society
DOI: 10.1109/JETCAS.2018.2839347

Dynamic Sensor-Frontend Tuning for Resource Efficient Embedded Classification

Author(s): Laura Galindez, Komail Badami, Jonas Vlasselaer, Wannes Meert, Marian Verhelst
Published in: IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 2018, Page(s) 1-1, ISSN 2156-3357
Publisher: IEEE Circuits and Systems Society
DOI: 10.1109/JETCAS.2018.2850451

Architecture optimization for energy-efficient resolution-scalable 8–12-bit SAR ADCs

Author(s): Thomas Bos, Komail Badami, Wim Dehaene, Marian Verhelst
Published in: Analog Integrated Circuits and Signal Processing, Issue 97/3, 2018, Page(s) 437-448, ISSN 0925-1030
Publisher: Kluwer Academic Publishers
DOI: 10.1007/s10470-018-1235-0

Embedded Deep Neural Network Processing: Algorithmic and Processor Techniques Bring Deep Learning to IoT and Edge Devices

Author(s): Marian Verhelst, Bert Moons
Published in: IEEE Solid-State Circuits Magazine, Issue 9/4, 2017, Page(s) 55-65, ISSN 1943-0582
Publisher: Institute of Electrical and Electronics Engineers
DOI: 10.1109/mssc.2017.2745818

High-Utilization, High-Flexibility Depth-First CNN Coprocessor for Image Pixel Processing on FPGA

Author(s): Steven Colleman, Marian Verhelst
Published in: IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Issue 29/3, 2021, Page(s) 461-471, ISSN 1063-8210
Publisher: Institute of Electrical and Electronics Engineers
DOI: 10.1109/tvlsi.2020.3046125

On the Convexity of Bit Depth Allocation for Linear MMSE Estimation in Wireless Sensor Networks

Author(s): Fernando de la Hucha Arce, Panagiotis Patrinos, Marian Verhelst, Alexander Bertrand
Published in: IEEE Signal Processing Letters, Issue 27, 2020, Page(s) 291-295, ISSN 1070-9908
Publisher: Institute of Electrical and Electronics Engineers
DOI: 10.1109/lsp.2020.2967592

Dynamic Sensor-Frontend Tuning for Resource Efficient Embedded Classification

Author(s): Laura Galindez, Komail Badami, Jonas Vlasselaer, Wannes Meert, Marian Verhelst
Published in: IEEE Journal on Emerging and Selected Topics in Circuits and Systems, Issue 8/4, 2018, Page(s) 858-872, ISSN 2156-3357
Publisher: IEEE Circuits and Systems Society
DOI: 10.1109/jetcas.2018.2850451

Acceleration of probabilistic reasoning through custom processor architecture

Author(s): Nimish Shah, Laura I. Galindez Olascoaga, Wannes Meert, Marian Verhelst
Published in: 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2020, Page(s) 322-325, ISBN 978-3-9819263-4-7
Publisher: IEEE
DOI: 10.23919/date48585.2020.9116326

Discrete samplers for approximate inference in probabilistic machine learning

Author(s): Shirui Zhao, Nimish Shah, Wannes Meert, and Marian Verhelst
Published in: 2022
Publisher: IEEE

Exploiting system configurability towards dynamic accuracy-power trade-offs in sensor front-ends

Author(s): O. Laura I. Galindez, Komail Badami, V. Rajesh Pamula, Steven Lauwereins, Wannes Meert, Marian Verhelst
Published in: 2016 50th Asilomar Conference on Signals, Systems and Computers, 2016, Page(s) 1027-1031, ISBN 978-1-5386-3954-2
Publisher: IEEE
DOI: 10.1109/acssc.2016.7869524

Towards Hardware-Aware Tractable Learning of Probabilistic Models

Author(s): Laura I. Galindez Olascoaga, Wannes Meert, Nimish Shah, Marian Verhelst, Guy Van den Broeck
Published in: Accepted for Publication at Proceedings of the Thirty-third Conference on Neural Information Processing Systems (NeurIPS 2019)., 2019
Publisher: NeurIPS

ProbLP: A framework for low-precision probabilistic inference

Author(s): Nimish Shah ; Laura I. Galindez Olascoaga ; Wannes Meert ; Marian Verhelst
Published in: DAC '19 Proceedings of the 56th Annual Design Automation Conference 2019, 2019
Publisher: DAC
DOI: 10.1145/3316781.3317885

Mixed-signal programmable non-linear interface for resource-efficient multi-sensor analytics

Author(s): Komail Badami, Juan-Carlos Pena Ramos, Steven Lauwereins, Marian Verhelst
Published in: 2018 IEEE International Solid - State Circuits Conference - (ISSCC), 2018, Page(s) 344-346, ISBN 978-1-5090-4940-0
Publisher: IEEE
DOI: 10.1109/isscc.2018.8310325

Towards Hardware-Aware Tractable Learning of Probabilistic Models (workshop version)

Author(s): L Galindez Olascoaga, W. Meert, M. Verhelst, G. Van den Broeck
Published in: 3rd Tractable Probabilistic Modeling Workshop colocated with the 36th International Conference on Machine Learning (TPM-ICML 2019), 2019
Publisher: TPM-ICML 2019

On the use of Bayesian Networks for Resource-Efficient Self-Calibration of Analog/RF ICs

Author(s): Martin Andraud, Laura Galindez, Yichuan Lu, Yiorgos Makris, Marian Verhelst
Published in: 2018 IEEE International Test Conference (ITC), 2018, Page(s) 1-10, ISBN 978-1-5386-8382-8
Publisher: IEEE
DOI: 10.1109/test.2018.8624893

Discriminative Bias for Learning Probabilistic Sentential Decision Diagrams

Author(s): Laura Isabel Galindez Olascoaga, Wannes Meert, Nimish Shah, Guy Van den Broeck, Marian Verhelst
Published in: Advances in Intelligent Data Analysis XVIII - 18th International Symposium on Intelligent Data Analysis, IDA 2020, Konstanz, Germany, April 27–29, 2020, Proceedings, Issue 12080, 2020, Page(s) 184-196, ISBN 978-3-030-44583-6
Publisher: Springer International Publishing
DOI: 10.1007/978-3-030-44584-3_15

Dynamic Complexity Tuning for Hardware-Aware Probabilistic Circuits

Author(s): Laura I. Galindez Olascoaga, Wannes Meert, Nimish Shah, Marian Verhelst
Published in: IoT Streams for Data-Driven Predictive Maintenance and IoT, Edge, and Mobile for Embedded Machine Learning - Second International Workshop, IoT Streams 2020, and First International Workshop, ITEM 2020, Co-located with ECML/PKDD 2020, Ghent, Belgium, September 14-18, 2020, Revised Selected Papers, Issue 1325, 2020, Page(s) 283-295, ISBN 978-3-030-66769-6
Publisher: Springer International Publishing
DOI: 10.1007/978-3-030-66770-2_21

Towards Resource-Efficient Classifiers for Always-On Monitoring

Author(s): Jonas Vlasselaer, Wannes Meert, Marian Verhelst
Published in: Machine Learning and Knowledge Discovery in Databases - European Conference, ECML PKDD 2018, Dublin, Ireland, September 10–14, 2018, Proceedings, Part III, Issue 11053, 2019, Page(s) 305-321, ISBN 978-3-030-10996-7
Publisher: Springer International Publishing
DOI: 10.1007/978-3-030-10997-4_19

PRU: Probabilistic Reasoning processing Unit for resource-efficient AI

Author(s): Nimish Shah, Laura I. Galindez Olascoaga, Wannes Meert and Marian Verhelst
Published in: HotChips, 2019
Publisher: HotChips

Searching for OpenAIRE data...

There was an error trying to search data from OpenAIRE

No results available