CORDIS - Resultados de investigaciones de la UE
CORDIS

Load Slice Core: A Power and Cost-Efficient Microarchitecture for the Future

Publicaciones

HeteroCore GPU to Exploit TLP-Resource Diversity

Autores: Xia Zhao, Zhiying Wang, Lieven Eeckhout
Publicado en: IEEE Transactions on Parallel and Distributed Systems, Edición 30/1, 2019, Página(s) 93-106, ISSN 1045-9219
Editor: Institute of Electrical and Electronics Engineers
DOI: 10.1109/tpds.2018.2854764

A First-Order Model to Assess Computer Architecture Sustainability

Autores: Lieven Eeckhout
Publicado en: IEEE COMPUTER ARCHITECTURE LETTERS, 2022, ISSN 1556-6056
Editor: Institute of Electrical and Electronics Engineers
DOI: 10.1109/lca.2022.3217366

Reliability-aware Garbage Collection for Hybrid HBM-DRAM Memories

Autores: Wenjie Liu; Shoaib Akram; Jennifer B. Sartor; Lieven Eeckhout
Publicado en: ACM Transactions on Architecture and Code Optimization (TACO), Edición 15443566, 2021, ISSN 1544-3566
Editor: Association for Computing Machinary, Inc.
DOI: 10.1145/3431803

VMT: Virtualized Multi-Threading for Accelerating Graph Workloads on Commodity Processors

Autores: Josue Feliu; Ajeya Naithani; Julio Sahuquillo; Salvador Petit; Moinuddin Qureshi; Lieven Eeckhout
Publicado en: IEEE Transactions on Computers (TC), Edición 00189340, 2022, ISSN 0018-9340
Editor: Institute of Electrical and Electronics Engineers
DOI: 10.1109/tc.2021.3086069

CD-Xbar: A Converge-Diverge Crossbar Network for High-Performance GPUs

Autores: Xia Zhao, Sheng Ma, Zhiying Wang, Natalie Enright Jerger, Lieven Eeckhout
Publicado en: IEEE Transactions on Computers, Edición 68/9, 2019, Página(s) 1283-1296, ISSN 0018-9340
Editor: Institute of Electrical and Electronics Engineers
DOI: 10.1109/tc.2019.2906869

Intra-Cluster Coalescing and Distributed-Block Scheduling to Reduce GPU NoC Pressure

Autores: Lu Wang, Xia Zhao, David Kaeli, Zhiying Wang, Lieven Eeckhout
Publicado en: IEEE Transactions on Computers, Edición 68/7, 2019, Página(s) 1064-1076, ISSN 0018-9340
Editor: Institute of Electrical and Electronics Engineers
DOI: 10.1109/tc.2019.2895036

Scale-Model Simulation

Autores: Wenjie Liu; Wim Heirman; Stijn Eyerman; Shoaib Akram; Lieven Eeckhout
Publicado en: IEEE Computer Architecture Letters, Edición 15566056, 2021, ISSN 1556-6056
Editor: Institute of Electrical and Electronics Engineers
DOI: 10.1109/lca.2021.3133112

Vector Runahead for Indirect Memory Accesses

Autores: Ajeya Naithani, Sam Ainsworth, Timothy M. Jones, Lieven Eeckhout
Publicado en: IEEE Micro, Edición 02721732, 2022, ISSN 0272-1732
Editor: Institute of Electrical and Electronics Engineers
DOI: 10.1109/mm.2022.3163132

Crystal Gazer

Autores: Shoaib Akram, Jennifer Sartor, Kathryn McKinley, Lieven Eeckhout
Publicado en: Proceedings of the ACM on Measurement and Analysis of Computing Systems, Edición 3/1, 2019, Página(s) 1-27, ISSN 2476-1249
Editor: ACM
DOI: 10.1145/3322205.3311080

The Forward Slice Core: A High-Performance, Yet Low-Complexity Microarchitecture

Autores: Kartik Lakshminarasimhan, Ajeya Naithani, Josue Feliu, Lieven Eeckhout
Publicado en: ACM Transactions on Architecture and Code Optimization (TACO), Edición 15443566, 2021, ISSN 1544-3566
Editor: Association for Computing Machinary, Inc.
DOI: 10.1145/3499424

Optimizing Soft Error Reliability Through Scheduling on Heterogeneous Multicore Processors

Autores: Ajeya Naithani, Stijn Eyerman, Lieven Eeckhout
Publicado en: IEEE Transactions on Computers, Edición 67/6, 2018, Página(s) 830-846, ISSN 0018-9340
Editor: Institute of Electrical and Electronics Engineers
DOI: 10.1109/tc.2017.2779480

Modeling Emerging Memory-Divergent GPU Applications

Autores: Lu Wang, Magnus Jahre, Almutaz Adileh, Zhiying Wang, Lieven Eeckhout
Publicado en: IEEE Computer Architecture Letters, Edición 18/2, 2019, Página(s) 95-98, ISSN 1556-6056
Editor: Institute of Electrical and Electronics Engineers
DOI: 10.1109/lca.2019.2923618

RPPM: Rapid Performance Prediction of Multithreaded Applications on Multicore Hardware

Autores: Sander De Pestel, Sam Van den Steen, Shoaib Akram, Lieven Eeckhout
Publicado en: IEEE Computer Architecture Letters, Edición 17/2, 2018, Página(s) 183-186, ISSN 1556-6056
Editor: Institute of Electrical and Electronics Engineers
DOI: 10.1109/lca.2018.2849983

Precise Runahead Execution

Autores: Ajeya Naithani, Josue Feliu, Almutaz Adileh, Lieven Eeckhout
Publicado en: IEEE Computer Architecture Letters, Edición 18/1, 2019, Página(s) 71-74, ISSN 1556-6056
Editor: Institute of Electrical and Electronics Engineers
DOI: 10.1109/lca.2019.2910518

Characterizing Multi-Chip GPU Data Sharing

Autores: Shiqing Zhang , Mahmood Naderan-Tahan, Magnus Jahre, Lieven Eeckhout
Publicado en: ACM TRANSACTIONS ON ARCHITECTURE AND CODE OPTIMIZATION, 2023, ISSN 1544-3566
Editor: Association for Computing Machinary, Inc.
DOI: 10.1145/3629521

Modeling Superscalar Processor Memory-Level Parallelism

Autores: Sam Van Den Steen, Lieven Eeckhout
Publicado en: IEEE Computer Architecture Letters, Edición 17/1, 2018, Página(s) 9-12, ISSN 1556-6056
Editor: Institute of Electrical and Electronics Engineers
DOI: 10.1109/lca.2017.2701370

Thread Isolation to Improve Symbiotic Scheduling on SMT Multicore Processors

Autores: Josue Feliu, Julio Sahuquillo, Salvador Petit, Lieven Eeckhout
Publicado en: IEEE Transactions on Parallel and Distributed Systems, Edición 31/2, 2020, Página(s) 359-373, ISSN 1045-9219
Editor: Institute of Electrical and Electronics Engineers
DOI: 10.1109/tpds.2019.2934955

Kaya for Computer Architects: Toward Sustainable Computer Systems

Autores: Lieven Eeckhout
Publicado en: IEEE Micro, 2023, ISSN 0272-1732
Editor: Institute of Electrical and Electronics Engineers
DOI: 10.1109/mm.2022.3218034

Balancing Performance Against Cost and Sustainability in Multi-Chip-Module GPUs

Autores: Shiqing Zhang; Mahmood Naderan-Tahan; Magnus Jahre; Lieven Eeckhout
Publicado en: IEEE Computer Architecture Letters, 2023, ISSN 1556-6056
Editor: Institute of Electrical and Electronics Engineers
DOI: 10.1109/lca.2023.3313203

The Forward Slice Core Microarchitecture

Autores: Kartik Lakshminarasimhan, Ajeya Naithani, Josué Feliu, Lieven Eeckhout
Publicado en: Proceedings of the ACM International Conference on Parallel Architectures and Compilation Techniques, 2020, Página(s) 361-372, ISBN 9781450380751
Editor: ACM
DOI: 10.1145/3410463.3414629

TIP: Time-Proportional Instruction Profiling

Autores: Björn Gottschall; Lieven Eeckhout; Magnus Jahre
Publicado en: Proceedings of the ACM/IEEE International Symposium on Microarchitecture (MICRO), 2021
Editor: ACM
DOI: 10.1145/3466752.3480058

Decoupled Vector Runahead

Autores: Ajeya Naithani; Jaime Roelandts; Sam Ainsworth; Timothy M. Jones; Lieven Eeckhout
Publicado en: Proceedings of the ACM/IEEE International Symposium on Microarchitecture (MICRO), 2023, ISBN 9798400703294
Editor: ACM
DOI: 10.1145/3613424.3614255

SAC: Sharing-Aware Caching in Multi-Chip GPUs

Autores: Shiqing Zhang, Mahmood Naderan-Tahan, Magnus Jahre, Lieven Eeckhout
Publicado en: PROCEEDINGS OF THE 2023 THE 50TH ANNUAL INTERNATIONAL SYMPOSIUM ON COMPUTER ARCHITECTURE, ISCA 2023, 2023, ISBN 979-8-4007-0095-8
Editor: ACM
DOI: 10.1145/3579371.3589078

Reliability-Aware Runahead

Autores: Ajeya Naithani; Lieven Eeckhout
Publicado en: Proceedings of the IEEE International Symposium on High-Performance Computer Architecture (HPCA), 2022
Editor: IEEE Computer Society
DOI: 10.1109/hpca53966.2022.00062

Selective Replication in Memory-Side GPU Caches

Autores: Xia Zhao, Magnus Jahre, Lieven Eeckhout
Publicado en: 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), 2020, Página(s) 967-980, ISBN 978-1-7281-7383-2
Editor: IEEE
DOI: 10.1109/micro50266.2020.00082

Precise Runahead Execution

Autores: Ajeya Naithani, Josue Feliu, Almutaz Adileh, Lieven Eeckhout
Publicado en: 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA), 2020, Página(s) 397-410, ISBN 978-1-7281-6149-5
Editor: IEEE
DOI: 10.1109/hpca47549.2020.00040

Directed Statistical Warming through Time Traveling

Autores: Nikos Nikoleris, Lieven Eeckhout, Erik Hagersten, Trevor E. Carlson
Publicado en: Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019, Página(s) 1037-1049, ISBN 9781450369381
Editor: ACM
DOI: 10.1145/3352460.3358264

HSM - A Hybrid Slowdown Model for Multitasking GPUs

Autores: Xia Zhao, Magnus Jahre, Lieven Eeckhout
Publicado en: Proceedings of the Twenty-Fifth International Conference on Architectural Support for Programming Languages and Operating Systems, 2020, Página(s) 1371-1385, ISBN 9781450371025
Editor: ACM
DOI: 10.1145/3373376.3378457

Scale-Model Architectural Simulation

Autores: Wenjie Liu, Wim Heirman, Stijn Eyerman, Shoaib Akram, Lieven Eeckhout
Publicado en: Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), 2022
Editor: IEEE Computer Society
DOI: 10.1109/ispass55109.2022.00006

Vector Runahead

Autores: Ajeya Naithani; Sam Ainsworth; Timothy Jones; Lieven Eeckhout
Publicado en: Proceedings of the IEEE/ACM International Symposium on Computer Architecture (ISCA), 2021
Editor: IEEE Computer Society
DOI: 10.1109/isca52012.2021.00024

Sieve: Stratified GPU-Compute Workload Sampling

Autores: Mahmood Naderan-Tahan, Seyyed Hossein SeyyedAghaei Rezaei, Lieven Eeckhout
Publicado en: 2023 IEEE INTERNATIONAL SYMPOSIUM ON PERFORMANCE ANALYSIS OF SYSTEMS AND SOFTWARE, ISPASS, 2023, ISBN 979-8-3503-9739-0
Editor: IEEE
DOI: 10.1109/ispass57527.2023.00030

MDM: The GPU Memory Divergence Model

Autores: Lu Wang, Magnus Jahre, Almutaz Adileho, Lieven Eeckhout
Publicado en: 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), 2020, Página(s) 1009-1021, ISBN 978-1-7281-7383-2
Editor: IEEE
DOI: 10.1109/micro50266.2020.00085

Architectural Support for Probabilistic Branches

Autores: Almutaz Adileh, David J. Lilja, Lieven Eeckhout
Publicado en: 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), 2018, Página(s) 108-120, ISBN 978-1-5386-6240-3
Editor: IEEE
DOI: 10.1109/micro.2018.00018

GDP: Using Dataflow Properties to Accurately Estimate Interference-Free Performance at Runtime

Autores: Magnus Jahre, Lieven Eeckhout
Publicado en: 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA), 2018, Página(s) 296-309, ISBN 978-1-5386-3659-6
Editor: IEEE
DOI: 10.1109/hpca.2018.00034

Write-rationing garbage collection for hybrid memories

Autores: Shoaib Akram, Jennifer B. Sartor, Kathryn S. McKinley, Lieven Eeckhout
Publicado en: Proceedings of the 39th ACM SIGPLAN Conference on Programming Language Design and Implementation - PLDI 2018, 2018, Página(s) 62-77, ISBN 9781-450356985
Editor: ACM Press
DOI: 10.1145/3192366.3192392

Get Out of the Valley: Power-Efficient Address Mapping for GPUs

Autores: Yuxi Liu, Xia Zhao, Magnus Jahre, Zhenlin Wang, Xiaolin Wang, Yingwei Luo, Lieven Eeckhout
Publicado en: 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA), 2018, Página(s) 166-179, ISBN 978-1-5386-5984-7
Editor: IEEE
DOI: 10.1109/isca.2018.00024

Adaptive memory-side last-level GPU caching

Autores: Xia Zhao, Almutaz Adileh, Zhibin Yu, Zhiying Wang, Aamer Jaleel, Lieven Eeckhout
Publicado en: Proceedings of the 46th International Symposium on Computer Architecture - ISCA '19, 2019, Página(s) 411-423, ISBN 9781-450366694
Editor: ACM Press
DOI: 10.1145/3307650.3322235

Racing to Hardware-Validated Simulation

Autores: Almutaz Adileh, Cecilia Gonzalez-Alvarez, Juan Miguel De Haro Ruiz, Lieven Eeckhout
Publicado en: 2019 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), 2019, Página(s) 58-67, ISBN 978-1-7281-0746-2
Editor: IEEE
DOI: 10.1109/ispass.2019.00014

Emulating and Evaluating Hybrid Memory for Managed Languages on NUMA Hardware

Autores: Shoaib Akram, Jennifer B. Sartor, Kathryn S. McKinley, Lieven Eeckhout
Publicado en: 2019 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), 2019, Página(s) 93-105, ISBN 978-1-7281-0746-2
Editor: IEEE
DOI: 10.1109/ispass.2019.00017

RPPM: Rapid Performance Prediction of Multithreaded Workloads on Multicore Processors

Autores: Sander De Pestel, Sam Van den Steen, Shoaib Akram, Lieven Eeckhout
Publicado en: 2019 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), 2019, Página(s) 257-267, ISBN 978-1-7281-0746-2
Editor: IEEE
DOI: 10.1109/ispass.2019.00038

Cactus: Top-Down GPU-Compute Benchmarking using Real-Life Applications

Autores: Mahmood Naderan-Tahan, Lieven Eeckhout
Publicado en: Proceedings of the IEEE International Symposium on Workload Characterization (IISWC), 2021
Editor: IEEE Computer Society
DOI: 10.1109/iiswc53511.2021.00026

Delegated Replies: Alleviating Network Clogging in Heterogeneous Architectures

Autores: Xia Zhao; Lieven Eeckhout; Magnus Jahre
Publicado en: Proceedings of the IEEE International Symposium on High-Performance Computer Architecture (HPCA), 2022
Editor: IEEE Computer Society
DOI: 10.1109/hpca53966.2022.00078

A Rigorous Benchmarking and Performance Analysis Methodology for Python Workloads

Autores: Arthur Crape, Lieven Eeckhout
Publicado en: 2020 IEEE International Symposium on Workload Characterization (IISWC), 2020, Página(s) 83-93, ISBN 978-1-7281-7645-1
Editor: IEEE
DOI: 10.1109/iiswc50251.2020.00017

Classification-Driven Search for Effective SM Partitioning in Multitasking GPUs

Autores: Xia Zhao, Zhiying Wang, Lieven Eeckhout
Publicado en: Proceedings of the 2018 International Conference on Supercomputing, 2018, Página(s) 65-75, ISBN 9781450357838
Editor: ACM
DOI: 10.1145/3205289.3205311

NUBA: Non-Uniform Bandwidth GPUs

Autores: Zhao, Xia; Jahre, Magnus; Tang, Yuhua; Zhang, Guangda; Eeckhout, Lieven
Publicado en: PROCEEDINGS OF THE 28TH ACM INTERNATIONAL CONFERENCE ON ARCHITECTURAL SUPPORT FOR PROGRAMMING LANGUAGES AND OPERATING SYSTEMS, VOL 2, ASPLOS 2023, 2023, ISBN 978-1-4503-9916-6
Editor: ACM
DOI: 10.1145/3575693.3575745

TEA: Time-Proportional Event Analysis

Autores: Björn Gottschall; Lieven Eeckhout; Magnus Jahre
Publicado en: PROCEEDINGS OF THE 2023 THE 50TH ANNUAL INTERNATIONAL SYMPOSIUM ON COMPUTER ARCHITECTURE, ISCA 2023, 2023, ISSN 1063-6897
Editor: IEEE
DOI: 10.1145/3579371.3589058

Intra-Cluster Coalescing to Reduce GPU NoC Pressure

Autores: Lu Wang, Xia Zhao, David Kaeli, Zhiying Wang, Lieven Eeckhout
Publicado en: 2018 IEEE International Parallel and Distributed Processing Symposium (IPDPS), 2018, Página(s) 990-999, ISBN 978-1-5386-4368-6
Editor: IEEE
DOI: 10.1109/ipdps.2018.00108

Buscando datos de OpenAIRE...

Se ha producido un error en la búsqueda de datos de OpenAIRE

No hay resultados disponibles