European Commission logo
polski polski
CORDIS - Wyniki badań wspieranych przez UE
CORDIS

Load Slice Core: A Power and Cost-Efficient Microarchitecture for the Future

Publikacje

HeteroCore GPU to Exploit TLP-Resource Diversity

Autorzy: Xia Zhao, Zhiying Wang, Lieven Eeckhout
Opublikowane w: IEEE Transactions on Parallel and Distributed Systems, Numer 30/1, 2019, Strona(/y) 93-106, ISSN 1045-9219
Wydawca: Institute of Electrical and Electronics Engineers
DOI: 10.1109/tpds.2018.2854764

A First-Order Model to Assess Computer Architecture Sustainability

Autorzy: Lieven Eeckhout
Opublikowane w: IEEE COMPUTER ARCHITECTURE LETTERS, 2022, ISSN 1556-6056
Wydawca: Institute of Electrical and Electronics Engineers
DOI: 10.1109/lca.2022.3217366

Reliability-aware Garbage Collection for Hybrid HBM-DRAM Memories

Autorzy: Wenjie Liu; Shoaib Akram; Jennifer B. Sartor; Lieven Eeckhout
Opublikowane w: ACM Transactions on Architecture and Code Optimization (TACO), Numer 15443566, 2021, ISSN 1544-3566
Wydawca: Association for Computing Machinary, Inc.
DOI: 10.1145/3431803

VMT: Virtualized Multi-Threading for Accelerating Graph Workloads on Commodity Processors

Autorzy: Josue Feliu; Ajeya Naithani; Julio Sahuquillo; Salvador Petit; Moinuddin Qureshi; Lieven Eeckhout
Opublikowane w: IEEE Transactions on Computers (TC), Numer 00189340, 2022, ISSN 0018-9340
Wydawca: Institute of Electrical and Electronics Engineers
DOI: 10.1109/tc.2021.3086069

CD-Xbar: A Converge-Diverge Crossbar Network for High-Performance GPUs

Autorzy: Xia Zhao, Sheng Ma, Zhiying Wang, Natalie Enright Jerger, Lieven Eeckhout
Opublikowane w: IEEE Transactions on Computers, Numer 68/9, 2019, Strona(/y) 1283-1296, ISSN 0018-9340
Wydawca: Institute of Electrical and Electronics Engineers
DOI: 10.1109/tc.2019.2906869

Intra-Cluster Coalescing and Distributed-Block Scheduling to Reduce GPU NoC Pressure

Autorzy: Lu Wang, Xia Zhao, David Kaeli, Zhiying Wang, Lieven Eeckhout
Opublikowane w: IEEE Transactions on Computers, Numer 68/7, 2019, Strona(/y) 1064-1076, ISSN 0018-9340
Wydawca: Institute of Electrical and Electronics Engineers
DOI: 10.1109/tc.2019.2895036

Scale-Model Simulation

Autorzy: Wenjie Liu; Wim Heirman; Stijn Eyerman; Shoaib Akram; Lieven Eeckhout
Opublikowane w: IEEE Computer Architecture Letters, Numer 15566056, 2021, ISSN 1556-6056
Wydawca: Institute of Electrical and Electronics Engineers
DOI: 10.1109/lca.2021.3133112

Vector Runahead for Indirect Memory Accesses

Autorzy: Ajeya Naithani, Sam Ainsworth, Timothy M. Jones, Lieven Eeckhout
Opublikowane w: IEEE Micro, Numer 02721732, 2022, ISSN 0272-1732
Wydawca: Institute of Electrical and Electronics Engineers
DOI: 10.1109/mm.2022.3163132

Crystal Gazer

Autorzy: Shoaib Akram, Jennifer Sartor, Kathryn McKinley, Lieven Eeckhout
Opublikowane w: Proceedings of the ACM on Measurement and Analysis of Computing Systems, Numer 3/1, 2019, Strona(/y) 1-27, ISSN 2476-1249
Wydawca: ACM
DOI: 10.1145/3322205.3311080

The Forward Slice Core: A High-Performance, Yet Low-Complexity Microarchitecture

Autorzy: Kartik Lakshminarasimhan, Ajeya Naithani, Josue Feliu, Lieven Eeckhout
Opublikowane w: ACM Transactions on Architecture and Code Optimization (TACO), Numer 15443566, 2021, ISSN 1544-3566
Wydawca: Association for Computing Machinary, Inc.
DOI: 10.1145/3499424

Optimizing Soft Error Reliability Through Scheduling on Heterogeneous Multicore Processors

Autorzy: Ajeya Naithani, Stijn Eyerman, Lieven Eeckhout
Opublikowane w: IEEE Transactions on Computers, Numer 67/6, 2018, Strona(/y) 830-846, ISSN 0018-9340
Wydawca: Institute of Electrical and Electronics Engineers
DOI: 10.1109/tc.2017.2779480

Modeling Emerging Memory-Divergent GPU Applications

Autorzy: Lu Wang, Magnus Jahre, Almutaz Adileh, Zhiying Wang, Lieven Eeckhout
Opublikowane w: IEEE Computer Architecture Letters, Numer 18/2, 2019, Strona(/y) 95-98, ISSN 1556-6056
Wydawca: Institute of Electrical and Electronics Engineers
DOI: 10.1109/lca.2019.2923618

RPPM: Rapid Performance Prediction of Multithreaded Applications on Multicore Hardware

Autorzy: Sander De Pestel, Sam Van den Steen, Shoaib Akram, Lieven Eeckhout
Opublikowane w: IEEE Computer Architecture Letters, Numer 17/2, 2018, Strona(/y) 183-186, ISSN 1556-6056
Wydawca: Institute of Electrical and Electronics Engineers
DOI: 10.1109/lca.2018.2849983

Precise Runahead Execution

Autorzy: Ajeya Naithani, Josue Feliu, Almutaz Adileh, Lieven Eeckhout
Opublikowane w: IEEE Computer Architecture Letters, Numer 18/1, 2019, Strona(/y) 71-74, ISSN 1556-6056
Wydawca: Institute of Electrical and Electronics Engineers
DOI: 10.1109/lca.2019.2910518

Characterizing Multi-Chip GPU Data Sharing

Autorzy: Shiqing Zhang , Mahmood Naderan-Tahan, Magnus Jahre, Lieven Eeckhout
Opublikowane w: ACM TRANSACTIONS ON ARCHITECTURE AND CODE OPTIMIZATION, 2023, ISSN 1544-3566
Wydawca: Association for Computing Machinary, Inc.
DOI: 10.1145/3629521

Modeling Superscalar Processor Memory-Level Parallelism

Autorzy: Sam Van Den Steen, Lieven Eeckhout
Opublikowane w: IEEE Computer Architecture Letters, Numer 17/1, 2018, Strona(/y) 9-12, ISSN 1556-6056
Wydawca: Institute of Electrical and Electronics Engineers
DOI: 10.1109/lca.2017.2701370

Thread Isolation to Improve Symbiotic Scheduling on SMT Multicore Processors

Autorzy: Josue Feliu, Julio Sahuquillo, Salvador Petit, Lieven Eeckhout
Opublikowane w: IEEE Transactions on Parallel and Distributed Systems, Numer 31/2, 2020, Strona(/y) 359-373, ISSN 1045-9219
Wydawca: Institute of Electrical and Electronics Engineers
DOI: 10.1109/tpds.2019.2934955

Kaya for Computer Architects: Toward Sustainable Computer Systems

Autorzy: Lieven Eeckhout
Opublikowane w: IEEE Micro, 2023, ISSN 0272-1732
Wydawca: Institute of Electrical and Electronics Engineers
DOI: 10.1109/mm.2022.3218034

Balancing Performance Against Cost and Sustainability in Multi-Chip-Module GPUs

Autorzy: Shiqing Zhang; Mahmood Naderan-Tahan; Magnus Jahre; Lieven Eeckhout
Opublikowane w: IEEE Computer Architecture Letters, 2023, ISSN 1556-6056
Wydawca: Institute of Electrical and Electronics Engineers
DOI: 10.1109/lca.2023.3313203

The Forward Slice Core Microarchitecture

Autorzy: Kartik Lakshminarasimhan, Ajeya Naithani, Josué Feliu, Lieven Eeckhout
Opublikowane w: Proceedings of the ACM International Conference on Parallel Architectures and Compilation Techniques, 2020, Strona(/y) 361-372, ISBN 9781450380751
Wydawca: ACM
DOI: 10.1145/3410463.3414629

TIP: Time-Proportional Instruction Profiling

Autorzy: Björn Gottschall; Lieven Eeckhout; Magnus Jahre
Opublikowane w: Proceedings of the ACM/IEEE International Symposium on Microarchitecture (MICRO), 2021
Wydawca: ACM
DOI: 10.1145/3466752.3480058

Decoupled Vector Runahead

Autorzy: Ajeya Naithani; Jaime Roelandts; Sam Ainsworth; Timothy M. Jones; Lieven Eeckhout
Opublikowane w: Proceedings of the ACM/IEEE International Symposium on Microarchitecture (MICRO), 2023, ISBN 9798400703294
Wydawca: ACM
DOI: 10.1145/3613424.3614255

SAC: Sharing-Aware Caching in Multi-Chip GPUs

Autorzy: Shiqing Zhang, Mahmood Naderan-Tahan, Magnus Jahre, Lieven Eeckhout
Opublikowane w: PROCEEDINGS OF THE 2023 THE 50TH ANNUAL INTERNATIONAL SYMPOSIUM ON COMPUTER ARCHITECTURE, ISCA 2023, 2023, ISBN 979-8-4007-0095-8
Wydawca: ACM
DOI: 10.1145/3579371.3589078

Reliability-Aware Runahead

Autorzy: Ajeya Naithani; Lieven Eeckhout
Opublikowane w: Proceedings of the IEEE International Symposium on High-Performance Computer Architecture (HPCA), 2022
Wydawca: IEEE Computer Society
DOI: 10.1109/hpca53966.2022.00062

Selective Replication in Memory-Side GPU Caches

Autorzy: Xia Zhao, Magnus Jahre, Lieven Eeckhout
Opublikowane w: 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), 2020, Strona(/y) 967-980, ISBN 978-1-7281-7383-2
Wydawca: IEEE
DOI: 10.1109/micro50266.2020.00082

Precise Runahead Execution

Autorzy: Ajeya Naithani, Josue Feliu, Almutaz Adileh, Lieven Eeckhout
Opublikowane w: 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA), 2020, Strona(/y) 397-410, ISBN 978-1-7281-6149-5
Wydawca: IEEE
DOI: 10.1109/hpca47549.2020.00040

Directed Statistical Warming through Time Traveling

Autorzy: Nikos Nikoleris, Lieven Eeckhout, Erik Hagersten, Trevor E. Carlson
Opublikowane w: Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019, Strona(/y) 1037-1049, ISBN 9781450369381
Wydawca: ACM
DOI: 10.1145/3352460.3358264

HSM - A Hybrid Slowdown Model for Multitasking GPUs

Autorzy: Xia Zhao, Magnus Jahre, Lieven Eeckhout
Opublikowane w: Proceedings of the Twenty-Fifth International Conference on Architectural Support for Programming Languages and Operating Systems, 2020, Strona(/y) 1371-1385, ISBN 9781450371025
Wydawca: ACM
DOI: 10.1145/3373376.3378457

Scale-Model Architectural Simulation

Autorzy: Wenjie Liu, Wim Heirman, Stijn Eyerman, Shoaib Akram, Lieven Eeckhout
Opublikowane w: Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), 2022
Wydawca: IEEE Computer Society
DOI: 10.1109/ispass55109.2022.00006

Vector Runahead

Autorzy: Ajeya Naithani; Sam Ainsworth; Timothy Jones; Lieven Eeckhout
Opublikowane w: Proceedings of the IEEE/ACM International Symposium on Computer Architecture (ISCA), 2021
Wydawca: IEEE Computer Society
DOI: 10.1109/isca52012.2021.00024

Sieve: Stratified GPU-Compute Workload Sampling

Autorzy: Mahmood Naderan-Tahan, Seyyed Hossein SeyyedAghaei Rezaei, Lieven Eeckhout
Opublikowane w: 2023 IEEE INTERNATIONAL SYMPOSIUM ON PERFORMANCE ANALYSIS OF SYSTEMS AND SOFTWARE, ISPASS, 2023, ISBN 979-8-3503-9739-0
Wydawca: IEEE
DOI: 10.1109/ispass57527.2023.00030

MDM: The GPU Memory Divergence Model

Autorzy: Lu Wang, Magnus Jahre, Almutaz Adileho, Lieven Eeckhout
Opublikowane w: 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), 2020, Strona(/y) 1009-1021, ISBN 978-1-7281-7383-2
Wydawca: IEEE
DOI: 10.1109/micro50266.2020.00085

Architectural Support for Probabilistic Branches

Autorzy: Almutaz Adileh, David J. Lilja, Lieven Eeckhout
Opublikowane w: 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), 2018, Strona(/y) 108-120, ISBN 978-1-5386-6240-3
Wydawca: IEEE
DOI: 10.1109/micro.2018.00018

GDP: Using Dataflow Properties to Accurately Estimate Interference-Free Performance at Runtime

Autorzy: Magnus Jahre, Lieven Eeckhout
Opublikowane w: 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA), 2018, Strona(/y) 296-309, ISBN 978-1-5386-3659-6
Wydawca: IEEE
DOI: 10.1109/hpca.2018.00034

Write-rationing garbage collection for hybrid memories

Autorzy: Shoaib Akram, Jennifer B. Sartor, Kathryn S. McKinley, Lieven Eeckhout
Opublikowane w: Proceedings of the 39th ACM SIGPLAN Conference on Programming Language Design and Implementation - PLDI 2018, 2018, Strona(/y) 62-77, ISBN 9781-450356985
Wydawca: ACM Press
DOI: 10.1145/3192366.3192392

Get Out of the Valley: Power-Efficient Address Mapping for GPUs

Autorzy: Yuxi Liu, Xia Zhao, Magnus Jahre, Zhenlin Wang, Xiaolin Wang, Yingwei Luo, Lieven Eeckhout
Opublikowane w: 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA), 2018, Strona(/y) 166-179, ISBN 978-1-5386-5984-7
Wydawca: IEEE
DOI: 10.1109/isca.2018.00024

Adaptive memory-side last-level GPU caching

Autorzy: Xia Zhao, Almutaz Adileh, Zhibin Yu, Zhiying Wang, Aamer Jaleel, Lieven Eeckhout
Opublikowane w: Proceedings of the 46th International Symposium on Computer Architecture - ISCA '19, 2019, Strona(/y) 411-423, ISBN 9781-450366694
Wydawca: ACM Press
DOI: 10.1145/3307650.3322235

Racing to Hardware-Validated Simulation

Autorzy: Almutaz Adileh, Cecilia Gonzalez-Alvarez, Juan Miguel De Haro Ruiz, Lieven Eeckhout
Opublikowane w: 2019 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), 2019, Strona(/y) 58-67, ISBN 978-1-7281-0746-2
Wydawca: IEEE
DOI: 10.1109/ispass.2019.00014

Emulating and Evaluating Hybrid Memory for Managed Languages on NUMA Hardware

Autorzy: Shoaib Akram, Jennifer B. Sartor, Kathryn S. McKinley, Lieven Eeckhout
Opublikowane w: 2019 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), 2019, Strona(/y) 93-105, ISBN 978-1-7281-0746-2
Wydawca: IEEE
DOI: 10.1109/ispass.2019.00017

RPPM: Rapid Performance Prediction of Multithreaded Workloads on Multicore Processors

Autorzy: Sander De Pestel, Sam Van den Steen, Shoaib Akram, Lieven Eeckhout
Opublikowane w: 2019 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), 2019, Strona(/y) 257-267, ISBN 978-1-7281-0746-2
Wydawca: IEEE
DOI: 10.1109/ispass.2019.00038

Cactus: Top-Down GPU-Compute Benchmarking using Real-Life Applications

Autorzy: Mahmood Naderan-Tahan, Lieven Eeckhout
Opublikowane w: Proceedings of the IEEE International Symposium on Workload Characterization (IISWC), 2021
Wydawca: IEEE Computer Society
DOI: 10.1109/iiswc53511.2021.00026

Delegated Replies: Alleviating Network Clogging in Heterogeneous Architectures

Autorzy: Xia Zhao; Lieven Eeckhout; Magnus Jahre
Opublikowane w: Proceedings of the IEEE International Symposium on High-Performance Computer Architecture (HPCA), 2022
Wydawca: IEEE Computer Society
DOI: 10.1109/hpca53966.2022.00078

A Rigorous Benchmarking and Performance Analysis Methodology for Python Workloads

Autorzy: Arthur Crape, Lieven Eeckhout
Opublikowane w: 2020 IEEE International Symposium on Workload Characterization (IISWC), 2020, Strona(/y) 83-93, ISBN 978-1-7281-7645-1
Wydawca: IEEE
DOI: 10.1109/iiswc50251.2020.00017

Classification-Driven Search for Effective SM Partitioning in Multitasking GPUs

Autorzy: Xia Zhao, Zhiying Wang, Lieven Eeckhout
Opublikowane w: Proceedings of the 2018 International Conference on Supercomputing, 2018, Strona(/y) 65-75, ISBN 9781450357838
Wydawca: ACM
DOI: 10.1145/3205289.3205311

NUBA: Non-Uniform Bandwidth GPUs

Autorzy: Zhao, Xia; Jahre, Magnus; Tang, Yuhua; Zhang, Guangda; Eeckhout, Lieven
Opublikowane w: PROCEEDINGS OF THE 28TH ACM INTERNATIONAL CONFERENCE ON ARCHITECTURAL SUPPORT FOR PROGRAMMING LANGUAGES AND OPERATING SYSTEMS, VOL 2, ASPLOS 2023, 2023, ISBN 978-1-4503-9916-6
Wydawca: ACM
DOI: 10.1145/3575693.3575745

TEA: Time-Proportional Event Analysis

Autorzy: Björn Gottschall; Lieven Eeckhout; Magnus Jahre
Opublikowane w: PROCEEDINGS OF THE 2023 THE 50TH ANNUAL INTERNATIONAL SYMPOSIUM ON COMPUTER ARCHITECTURE, ISCA 2023, 2023, ISSN 1063-6897
Wydawca: IEEE
DOI: 10.1145/3579371.3589058

Intra-Cluster Coalescing to Reduce GPU NoC Pressure

Autorzy: Lu Wang, Xia Zhao, David Kaeli, Zhiying Wang, Lieven Eeckhout
Opublikowane w: 2018 IEEE International Parallel and Distributed Processing Symposium (IPDPS), 2018, Strona(/y) 990-999, ISBN 978-1-5386-4368-6
Wydawca: IEEE
DOI: 10.1109/ipdps.2018.00108

Prawa własności intelektualnej

INSTRUCTION WINDOW CENTRIC PROCESSOR SIMULATION

Numer wniosku/publikacji: 13 730526
Data: 2013-06-17
Wnioskodawca/wnioskodawcy: UNIVERSITEIT GENT

Wyszukiwanie danych OpenAIRE...

Podczas wyszukiwania danych OpenAIRE wystąpił błąd

Brak wyników