European Commission logo
English English
CORDIS - EU research results
CORDIS

SGA1 (Specific Grant Agreement 1) OF THE EUROPEAN PROCESSOR INITIATIVE (EPI)

Deliverables

Periodic Dissemination and Communication report (M12)

This deliverable (initially at M3 and periodically for each next project year) will include all the dissemination and communication actions that have taken place during previous year periods.

Periodic Dissemination and Communication report (M37))

This deliverable initially at M3 and periodically for each next project year will include all the dissemination and communication actions that have taken place during previous year periods

Periodic Dissemination and Communication report (M24)

This deliverable (initially at M3 and periodically for each next project year) will include all the dissemination and communication actions that have taken place during previous year periods.

Dissemination and Communication plan

This deliverable will include plans of dissemination/communication strategy and activities to be executed. It will include design and implementation of a website as a major dissemination vehicle for the project as well as social media sites. Initial document will be periodically updated.

Publications

NoC Performance Model for Efficient Network Latency Estimation

Author(s): Oumaima Matoussi
Published in: 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2021, Page(s) 994-999, ISBN 978-3-9819263-5-4
Publisher: IEEE
DOI: 10.23919/date51398.2021.9474101

Software-only based Diverse Redundancy for ASIL-D Automotive Applications on Embedded HPC Platforms

Author(s): Sergi Alcaide, Leonidas Kosmidis, Carles Hernandez, Jaume Abella
Published in: 2020 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), 2020, Page(s) 1-4, ISBN 978-1-7281-9457-8
Publisher: IEEE
DOI: 10.1109/dft50435.2020.9250750

An Open-Source Scalable Thermal and Power Controller for HPC Processors

Author(s): Giovanni Bambini, Robert Balas, Christian Conficoni, Andrea Tilli, Luca Benini, Simone Benatti, Andrea Bartolini
Published in: 2020 IEEE 38th International Conference on Computer Design (ICCD), 2020, Page(s) 364-367, ISBN 978-1-7281-9710-4
Publisher: IEEE
DOI: 10.1109/iccd50377.2020.00067

The Italian research on HPC key technologies across EuroHPC

Author(s): Marco Aldinucci, Giovanni Agosta, Antonio Andreini, Claudio A. Ardagna, Andrea Bartolini, Alessandro Cilardo, Biagio Cosenza, Marco Danelutto, Roberto Esposito, William Fornaciari, Roberto Giorgi, Davide Lengani, Raffaele Montella, Mauro Olivieri, Sergio Saponara, Daniele Simoni, Massimo Torquati
Published in: Proceedings of the 18th ACM International Conference on Computing Frontiers, 2021, Page(s) 178-184, ISBN 9781450384049
Publisher: ACM
DOI: 10.1145/3457388.3458508

Unlimited Vector Extension with Data Streaming Support

Author(s): Joao Mario Domingos, Nuno Neves, Nuno Roma, Pedro Tomas
Published in: 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA), 2021, Page(s) 209-222, ISBN 978-1-6654-3333-4
Publisher: IEEE
DOI: 10.1109/isca52012.2021.00025

A Novel Posit-based Fast Approximation of ELU Activation Function for Deep Neural Networks

Author(s): Marco Cococcioni, Federico Rossi, Emanuele Ruffaldi, Sergio Saponara
Published in: 2020 IEEE International Conference on Smart Computing (SMARTCOMP), 2020, Page(s) 244-246, ISBN 978-1-7281-6997-2
Publisher: IEEE
DOI: 10.1109/smartcomp50058.2020.00053

A RISC-V in-network accelerator for flexible high-performance low-power packet processing

Author(s): Salvatore Di Girolamo, Andreas Kurth, Alexandru Calotoiu, Thomas Benz, Timo Schneider, Jakub Beranek, Luca Benini, Torsten Hoefler
Published in: 48th IEEE/ACM International Symposium on Computer Architecture (ISCA), 2021, ISBN 978-1-6654-3333-4
Publisher: IEEE
DOI: 10.1109/isca52012.2021.00079

Embedded Image Processing the European Way: A new platform for the future automotive market

Author(s): Tim Hotfilter, Fabian Kempf, Jurgen Becker, Dominik Reinhardt, Imen Baili
Published in: 2020 IEEE 6th World Forum on Internet of Things (WF-IoT), 2020, Page(s) 1-6, ISBN 978-1-7281-5503-6
Publisher: IEEE
DOI: 10.1109/wf-iot48130.2020.9221396

The Floating Point Trinity: A Multi-modal Approach to Extreme Energy-Efficiency and Performance

Author(s): Florian Zaruba, Fabian Schuiki, Stefan Mach, Luca Benini
Published in: 2019 26th IEEE International Conference on Electronics, Circuits and Systems (ICECS), 2019, Page(s) 767-770, ISBN 978-1-7281-0996-1
Publisher: IEEE
DOI: 10.1109/icecs46596.2019.8964820

Novel Arithmetics to Accelerate Machine Learning Classifiers in Autonomous Driving Applications

Author(s): Marco Cococcioni, Federico Rossi, Emanuele Ruffaldi, Sergio Saponara
Published in: 2019 26th IEEE International Conference on Electronics, Circuits and Systems (ICECS), 2019, Page(s) 779-782, ISBN 978-1-7281-0996-1
Publisher: IEEE
DOI: 10.1109/icecs46596.2019.8965031

A PULP-based Parallel Power Controller for Future Exascale Systems

Author(s): Andrea Bartolini, Davide Rossi, Antonio Mastrandrea, Christian Conficoni, Simone Benatti, Andrea Tilli, Luca Benini
Published in: 2019 26th IEEE International Conference on Electronics, Circuits and Systems (ICECS), 2019, Page(s) 771-774, ISBN 978-1-7281-0996-1
Publisher: IEEE
DOI: 10.1109/icecs46596.2019.8964699

Crypto Accelerators for Power-Efficient and Real-Time on-Chip Implementation of Secure Algorithms

Author(s): Luca Baldanzi, Luca Crocetti, Stefano Di Matteo, Luca Fanucci, Sergio Saponara, Patrice Hameau
Published in: 2019 26th IEEE International Conference on Electronics, Circuits and Systems (ICECS), 2019, Page(s) 775-778, ISBN 978-1-7281-0996-1
Publisher: IEEE
DOI: 10.1109/icecs46596.2019.8964731

PIugSMART - a pluggable open-source module to implement multihop bypass in networks-on-chip

Author(s): Alireza Monemi, Iván Pérez, Neiel Leyva, Enrique Vallejo, Ramón Beivide, Miquel Moretó
Published in: Proceedings of the 15th IEEE/ACM International Symposium on Networks-on-Chip, 2021, Page(s) 41-48, ISBN 9781450390835
Publisher: ACM
DOI: 10.1145/3479876.3481601

gem5 + rtl: A Framework to Enable RTL Models Inside a Full-System Simulator

Author(s): Guillem López-Paradís, Adrià Armejach, Miquel Moretó
Published in: 50th International Conference on Parallel Processing, 2021, Page(s) 1-11, ISBN 9781450390682
Publisher: ACM
DOI: 10.1145/3472456.3472461

An OpenMP free agent threads implementation

Author(s): Victor Lopez, Joel Criado, Raúl Peñacoba, Roger Ferrer, Xavier Teruel, Marta Garcia-Gasulla
Published in: IWOMP 2021: OpenMP: Enabling Massive Node-Level Parallelism, Issue 14-16 September 2021, 2021, Page(s) pp 211-225, ISBN 978-3-030-85262-7
Publisher: Springer
DOI: 10.1007/978-3-030-85262-7_15

Reconfigurable Stream-based Tensor Unit with Variable-Precision Posit Arithmetic

Author(s): Nuno Neves, Pedro Tomas, Nuno Roma
Published in: 2020 IEEE 31st International Conference on Application-specific Systems, Architectures and Processors (ASAP), 2020, Page(s) 149-156, ISBN 978-1-7281-7147-0
Publisher: IEEE
DOI: 10.1109/asap49362.2020.00033

Supporting RISC-V Performance Counters through Performance analysis tools for Linux (Perf)

Author(s): João Domingos, Pedro Tomás, Leonel Sousa
Published in: Fifth Workshop on Computer Architecture Research with RISC-V (CARRV 2021), 2021
Publisher: ACM

A 10-core SoC with 20 Fine-Grain Power Domains for Energy-Proportional Data-Parallel Processing over a Wide Voltage and Temperature Range

Author(s): Thomas Benz, Luca Bertaccini, Florian Zaruba, Fabian Schuiki, Frank Kagan Gürkaynak, Luca Benini
Published in: ESSCIRC 2021 - IEEE 47th European Solid State Circuits Conference (ESSCIRC), 2021, ISBN 978-1-6654-3751-6
Publisher: IEEE
DOI: 10.1109/esscirc53450.2021.9567755

Secure Elliptic Curve Crypto-Processor for Real-Time IoT Applications

Author(s): Stefano Di Matteo, Luca Baldanzi, Luca Crocetti, Pietro Nannipieri, Luca Fanucci, Sergio Saponara
Published in: Energies, Issue 14/15, 2021, Page(s) 4676, ISSN 1996-1073
Publisher: Multidisciplinary Digital Publishing Institute (MDPI)
DOI: 10.3390/en14154676

Novel Arithmetics in Deep Neural Networks Signal Processing for Autonomous Driving: Challenges and Opportunities

Author(s): Marco Cococcioni, Federico Rossi, Emanuele Ruffaldi, Sergio Saponara, Benoit Dupont de Dinechin
Published in: IEEE Signal Processing Magazine, Issue 38/1, 2021, Page(s) 97-110, ISSN 1053-5888
Publisher: Institute of Electrical and Electronics Engineers
DOI: 10.1109/MSP.2020.2988436

Synch: A framework for concurrent data-structures and benchmarks

Author(s): Nikolaos Kallimanis
Published in: Journal of Open Source Software, Issue 6/64, 2021, Page(s) 3143, ISSN 2475-9066
Publisher: The Journal of Open Source Software
DOI: 10.21105/joss.03143

LVD-NMPC: A learning-based vision dynamics approach to nonlinear model predictive control for autonomous vehicles

Author(s): Sorin Grigorescu, Cosmin Ginerica, Mihai Zaha, Gigel Macesanu, Bogdan Trasnea
Published in: International Journal of Advanced Robotic Systems, 2021, ISSN 1729-8814
Publisher: International Journal of Advanced Robotic Systems
DOI: 10.1177/17298814211019544

SHA2 and SHA-3 accelerator design in a 7 nm technology within the European Processor Initiative

Author(s): Pietro Nannipieri, Matteo Bertolucci, Luca Baldanzi, Luca Crocetti, Stefano Di Matteo, Francesco Falaschi, Luca Fanucci, Sergio Saponara
Published in: Microprocessors and Microsystems, 2020, ISSN 0141-9331
Publisher: Elsevier BV
DOI: 10.1016/j.micpro.2020.103444

Vectorizing posit operations on RISC-V for faster deep neural networks: experiments and comparison with ARM SVE

Author(s): Marco Cococcioni, Federico Rossi, Emanuele Ruffaldi, Sergio Saponara
Published in: Neural Computing and Applications, 2021, ISSN 0941-0643
Publisher: Springer Verlag
DOI: 10.1007/s00521-021-05814-0

Manticore: A 4096-core RISC-V Chiplet Architecture for Ultra-efficient Floating-point Computing

Author(s): Florian Zaruba, Fabian Schuiki, Luca Benini
Published in: IEEE Micro, 2020, Page(s) 1-1, ISSN 0272-1732
Publisher: Institute of Electrical and Electronics Engineers
DOI: 10.1109/mm.2020.3045564

Compiler-Assisted Data Streaming for Regular Code Structures

Author(s): Nuno Neves, Pedro Tomas, Nuno Roma
Published in: IEEE Transactions on Computers, 2020, Page(s) 1-1, ISSN 0018-9340
Publisher: Institute of Electrical and Electronics Engineers
DOI: 10.1109/tc.2020.2990302

A Lightweight Posit Processing Unit for RISC-V Processors in Deep Neural Network Applications

Author(s): Marco Cococcioni; Federico Rossi; Emanuele Ruffaldi; Sergio Saponara
Published in: IEEE Transactions on Emerging Topics in Computing, 2021, Page(s) 10, ISSN 2168-6750
Publisher: IEEE Computer Society
DOI: 10.1109/tetc.2021.3120538

OctoPath: An OcTree-Based Self-Supervised Learning Approach to Local Trajectory Planning for Mobile Robots

Author(s): Bogdan Trăsnea, Cosmin Ginerică, Mihai Zaha, Gigel Măceşanu, Claudiu Pozna, Sorin Grigorescu
Published in: Sensors, Issue 21/11, 2021, Page(s) 3606, ISSN 1424-8220
Publisher: Multidisciplinary Digital Publishing Institute (MDPI)
DOI: 10.3390/s21113606

Fast deep neural networks for image processing using posits and ARM scalable vector extension

Author(s): Marco Cococcioni, Federico Rossi, Emanuele Ruffaldi, Sergio Saponara
Published in: Journal of Real-Time Image Processing, Issue 17/3, 2020, Page(s) 759-771, ISSN 1861-8200
Publisher: Springer Verlag
DOI: 10.1007/s11554-020-00984-x

Cryptographically Secure Pseudo-Random Number Generator IP-Core Based on SHA2 Algorithm

Author(s): Luca Baldanzi, Luca Crocetti, Francesco Falaschi, Matteo Bertolucci, Jacopo Belli, Luca Fanucci, Sergio Saponara
Published in: Sensors, Issue 20/7, 2020, Page(s) 1869, ISSN 1424-8220
Publisher: Multidisciplinary Digital Publishing Institute (MDPI)
DOI: 10.3390/s20071869

Fast Approximations of Activation Functions in Deep Neural Networks when using Posit Arithmetic

Author(s): Marco Cococcioni, Federico Rossi, Emanuele Ruffaldi, Sergio Saponara
Published in: Sensors, Issue 20/5, 2020, Page(s) 1515, ISSN 1424-8220
Publisher: Multidisciplinary Digital Publishing Institute (MDPI)
DOI: 10.3390/s20051515

How Europe Is Preparing Its Core Solution for Exascale Machines and a Global, Sovereign, Advanced Computing Platform

Author(s): Mario Kovač, Philippe Notton, Daniel Hofman, Josip Knezović
Published in: Mathematical and Computational Applications, Issue 25/3, 2020, Page(s) 46, ISSN 2297-8747
Publisher: MDPI AG
DOI: 10.3390/mca25030046

SEA-BREW: A scalable Attribute-Based Encryption revocable scheme for low-bitrate IoT wireless networks

Author(s): Michele La Manna, Pericle Perazzo, Gianluca Dini
Published in: Journal of Information Security and Applications, Issue 58, 2021, Page(s) 102692, ISSN 2214-2126
Publisher: Elsevier
DOI: 10.1016/j.jisa.2020.102692

True Random Number Generator Based on Fibonacci-Galois Ring Oscillators for FPGA

Author(s): Pietro Nannipieri, Stefano Di Matteo, Luca Baldanzi, Luca Crocetti, Jacopo Belli, Luca Fanucci, Sergio Saponara
Published in: Applied Sciences, Issue 11/8, 2021, Page(s) 3330, ISSN 2076-3417
Publisher: MDPI
DOI: 10.3390/app11083330

ObserveNet Control: A Vision-Dynamics Learning Approach to Predictive Control in Autonomous Vehicles

Author(s): Cosmin Ginerica, Mihai Zaha, Florin Gogianu, Lucian Busoniu, Bogdan Trasnea, and Sorin Grigorescu
Published in: IEEE Robotics and Automation Letters, 2021, Page(s) 6915 - 6922, ISSN 2377-3766
Publisher: IEEE
DOI: 10.1109/lra.2021.3096157

Performance Evaluation of Attribute-Based Encryption in Automotive Embedded Platform for Secure Software Over-The-Air Update

Author(s): Michele La Manna, Luigi Treccozzi, Pericle Perazzo, Sergio Saponara, Gianluca Dini
Published in: Sensors, Issue 21/2, 2021, Page(s) 515, ISSN 1424-8220
Publisher: Multidisciplinary Digital Publishing Institute (MDPI)
DOI: 10.3390/s21020515

European Processor Initiative (EPI)—An Approach for a Future Automotive eHPC Semiconductor Platform

Author(s): Mario Kovač, Dominik Reinhardt, Oliver Jesorsky, Matthias Traub, Jean-Marc Denis, Philippe Notton
Published in: Electronic Components and Systems for Automotive Applications - Proceedings of the 5th CESA Automotive Electronics Congress, Paris, 2018, 2019, Page(s) 185-195, ISBN 978-3-030-14155-4
Publisher: Springer International Publishing
DOI: 10.1007/978-3-030-14156-1_15

Cross-level Co-simulation and Verification of an Automatic Transmission Control on Embedded Processor

Author(s): Cinzia Bernardeschi, Andrea Domenici, Maurizio Palmieri, Sergio Saponara, Tanguy Sassolas, Arief Wicaksana, Lilia Zaourar
Published in: Software Engineering and Formal Methods. SEFM 2020 Collocated Workshops - ASYDE, CIFMA, and CoSim-CPS, Amsterdam, The Netherlands, September 14–15, 2020, Revised Selected Papers, Issue 12524, 2021, Page(s) 263-279, ISBN 978-3-030-67219-5
Publisher: Springer International Publishing
DOI: 10.1007/978-3-030-67220-1_20

FPnew: An Open-Source Multiformat Floating-Point Unit Architecture for Energy-Proportional Transprecision Computing

Author(s): Stefan Mach, Fabian Schuiki, Florian Zaruba, Luca Benini
Published in: IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2021, ISSN 1063-8210
Publisher: Institute of Electrical and Electronics Engineers
DOI: 10.1109/tvlsi.2020.3044752

Intellectual Property Rights

A COMPUTING UNIT, METHOD TO PERFORM COMPUTATIONS, METHOD TO GENERATE PROGRAM CODE FOR A HARDWARE COMPRISING A MULTI-STAGE-BUFFER AND PROGRAM CODE

Application/Publication number: 20 185002
Date: 2020-07-09

METHOD AND DEVICE FOR FLOATING POINT REPRESENTATION WITH VARIABLE PRECISION

Application/Publication number: 20 161056
Date: 2020-03-04

A HIGH-PERFORMANCE COMPUTING SYSTEM

Application/Publication number: 20 188737
Date: 2020-07-30

Simulateur rapide d'un calculateur et d'un logiciel mis en œuvre par ce calculateur

Application/Publication number: 19 13321
Date: 2019-11-27

Searching for OpenAIRE data...

There was an error trying to search data from OpenAIRE

No results available