CORDIS - EU research results
CORDIS

IC Technology for the 2nm Node

Project description

Continuous shrink paves the way for world leadership in 2nm semiconductor technology

Semiconductor research and development continuously focuses on surpassing present state-of-the-art Micro-Chips manufacturing technology to accommodate the exponential increase in demand for more processing power. To increase the number of transistors per chip, the EU-funded IT2 project develops next generation extreme UV lithography and explores novel 3D structures. Much like building an apartment complex rather than a single-family home on the same real estate. The project will enable future chips that will be at the core of AI, Big Data, Mobile/5G communication and other elements of Europe's digitisation. In this way, the project develops knowledge and infrastructure to give Europe’s semiconductor manufacturing equipment industry global leadership in 2nm CMOS technology and supports Europe to obtain a sovereign position in the electronics value-chain.

Objective

The overall objective of the IT2 project is to explore, develop and demonstrate technology options that are needed to realize 2nm CMOS logic technology extending the scaled Semiconductor technology roadmap to the next node in accordance to Moore’s law. These activities cover creation of Lithography equipment, new Processes & Modules and Metrology tools capable to create and deal with new 2nm node 3D structures, defect analysis, overlay and features.
The topics addressed by the program relate to the ECSEL MASP 2019 Chapter 10; “Process Technology, Equipment, Materials and Manufacturing for electronic components and systems”, with emphasis on the following major challenge “the Extension of world leadership in Semiconductor Equipment, Materials and Manufacturing solutions” and “Developing Technology for heterogeneous System-on-Chip (SoC) Integration” of the ECSEL JU Annual Work Plan 2019.
The relation of the IT2 project to world leadership regards the extension of the scaled semiconductor technology roadmaps and thereby maintain competence in advanced More Moore technology in Europe to support leading edge manufacturing.
The relation of the IT2 project to the Developing Technology for heterogeneous System-on-chip Integration comes from activities regarding “System Scaling” in which technology is developed that enables wafer-to-wafer bonding creating 3D heterogeneous solutions with the aim to resolve performance limitations in power and data congestion.
In regard to the annual work plan 2019, the IT2 project support the ECSEL JU objectives by contribution to the development of a strong and competitive Electronic Components and Systems (ECS) by involving many of the equipment and tool developers like; ASML, Zeiss, Thermo Fisher, Applied Materials, Nova, KLA along the value chain and knowledge institutes such as ARCNL, imec, PTB, TNO and TU/e. And by stimulating a dynamic ecosystem through through the involvement of SMEs like IBS, Recif, Reden and Unity.

Call for proposal

H2020-ECSEL-2019-1-IA-two-stage

See other projects for this call

Sub call

H2020-ECSEL-2019-1-IA

Coordinator

ASML NETHERLANDS B.V.
Net EU contribution
€ 3 000 000,00
Address
DE RUN 6501
5504DR Veldhoven
Netherlands

See on map

Region
Zuid-Nederland Noord-Brabant Zuidoost-Noord-Brabant
Activity type
Private for-profit entities (excluding Higher or Secondary Education Establishments)
Links
Total cost
€ 15 434 132,50

Participants (34)