European Commission logo
français français
CORDIS - Résultats de la recherche de l’UE
CORDIS

Ultrapure Water Technology - nanoparticle free water for the advanced nanoelectronics industry enabling further miniaturization of electronic devices

Article Category

Article available in the following languages:

L’eau ultra-propre qui fait des remous dans l’industrie des semi-conducteurs

La miniaturisation des micropuces est assortie d’un rétrécissement des distances entre les fils conducteurs – aujourd’hui inférieures à 10 nm – ce qui augmente les risques de courts-circuits causés par de minuscules particules logées entre ceux-ci. Les équipements financés par l’UE proposent un moyen unique et écologique de se débarrasser de ces impuretés.

Technologies industrielles icon Technologies industrielles

Les technologies de la nanoélectronique ont progressé à une vitesse stupéfiante, facilitant le regroupement toujours plus étroit des composants sur les puces et permettant une énorme capacité de mémoire. Cela a toutefois augmenté le risque de présence d’impuretés qui réduisent le taux de rendement et donc la rentabilité. Les puces traitées dans des salles blanches de haute technologie sont chacune rincées une centaine de fois au cours de leur fabrication. Le fabricant européen de semi-conducteurs NXP (anciennement Philips) utilise environ 30 millions de litres d’eau par jour. Les procédés avancés de production d’eau ultra-pure (UPW) peinent à éliminer les particules inférieures à 20 nm, à plus forte raison celles inférieures à 10 nm. Ces nanoparticules posent davantage de problèmes à mesure que la taille des puces diminue. Leur présence augmente la probabilité de mise au rebut de puces défectueuses, ce qui représente un gaspillage important de ressources naturelles, de temps et d’argent. Il est donc essentiel d’améliorer la pureté de l’eau tout en réduisant la consommation d’énergie et d’eau, le gaspillage de matières premières et les coûts, afin de poursuivre la miniaturisation des puces et de préserver la compétitivité de l’industrie européenne. Le projet ULTRAWAT, financé par l’UE, propose une solution qui représente l’aboutissement de travaux menés depuis des décennies pour relever ces défis.

Du pur génie

Il y a quarante ans, la société suédoise Scarab a mis au point une installation pilote de dessalement utilisant sa propre technologie brevetée de traitement de l’eau. Contre toute attente, l’eau était extraordinairement pure. Elle semblait destinée à jouer un rôle de premier plan dans l’industrie des semi-conducteurs, dont le conditionnement de plus en plus dense laissait présager un besoin imminent. D’ambitieux efforts de recherche et de développement ont été entrepris par les laboratoires nationaux Sandia aux États-Unis, suite auxquels Scarab et son entreprise dérivée Xzero ont commencé à se concentrer sur la production d’eau ultra-pure pour la fabrication de micropuces. Depuis 2010, l’unité de démonstration de Xzero à Stockholm élimine les résidus pharmaceutiques des eaux usées municipales, purifie les condensats de gaz de combustion des centrales électriques, etc. Le financement d’ULTRAWAT au titre d’Horizon 2020 a permis la refonte complète de l’équipement de base, améliorant l’efficacité et réduisant les coûts. Miriam Salin, PDG de Xzero et coordinatrice du projet ULTRAWAT, explique: «Les systèmes actuels de production d’eau ultra-pure à la pointe de la technologie comportent environ 10 étapes. L’équipement LastRinse de Xzero n’en utilise que deux, dont notre procédé exclusif pour éliminer tous les éléments non volatils en vaporisant et en condensant les molécules d’eau tout en laissant le reste dans l’eau d’alimentation. En outre, il utilise la chaleur résiduelle au lieu de l’électricité pour alimenter le processus de séparation.» Ce processus plus simple et plus efficace a permis de développer un système compact à la demande au point d’utilisation, minimisant les risques de contamination et simplifiant la maintenance et les réparations. Les essais sont en cours et les systèmes commerciaux devraient arriver sur le marché en 2023.

Une mini-économie circulaire

ULTRAWAT a dépassé toutes les attentes avec son système intégré de traitement des eaux usées et de récupération des ressources permettant d’isoler tous les contaminants. Circular Water Technologies AB proposera le système circulaire de traitement des eaux à rejet liquide nul pour l’industrie des semi-conducteurs. En coopération avec imec, Xzero a également lancé le projet «Resource Recovery», qui consiste à récupérer des composants précieux tels que les métaux du groupe des terres rares dans les eaux usées des semi-conducteurs. Enfin, compte tenu des obstacles liés à la pandémie de COVID-19, un petit système a été développé pour être expédié aux éventuels clients intéressés. Il sera vendu en tant qu’équipement de laboratoire par Type1water AB. LastRinse peut être intégré dans des stations de purification d’eau existantes sans perturbation ni temps d’arrêt ou mis en œuvre dans de nouvelles stations. Aapo Sääsk, président du conseil d’administration de Xzero, conclut: «LastRinse est le seul système permettant d’éliminer complètement les nanoparticules de moins de 20 nm dans les eaux usées, une nécessité absolue pour de futures avancées rentables en nanoélectronique. Xzero sera un catalyseur essentiel de la croissance de l’industrie européenne de la nanoélectronique, la libérant de sa dépendance à l’égard des fabricants américains et asiatiques et stimulant l’innovation locale.» Pour le film Xzero, consultez la vidéo.

Mots‑clés

ULTRAWAT, eau, Xzero, eau ultra-pure (UPW), nanoélectronique, puce, semi-conducteur, LastRinse, eaux usées, micro-puce, nanoparticule

Découvrir d’autres articles du même domaine d’application