Skip to main content
European Commission logo
español español
CORDIS - Resultados de investigaciones de la UE
CORDIS

Versatile Integrated Accelerator-based Heterogeneous Data Centres

Resultado final

Report on dissemination and communication activities (intermediate)

This deliverable will describe the intermediate dissemination and communication activities.

Final workshop report

Report of the final workshop with the main achievement and the working prototypes of the VINEYARD platform.

Report on dissemination and communication activities (final)

This delivrabel will describe the dissemination and communication activities for the last period.

Executive summary

Executime summary of the VINEYARD platform and the main achievements.

Application requirements and specifications

Theis Deliverable describes the application requirements that will be used for the perfromance evaluation of the VINEYARD platforms. Furthermore, this deliverable describes the specification of the applications that can be hosted in the VINEYARD platform.

Public Project Presentation

Public Website preparation and restisted website preparation for the project managemnt and coordination.

Project stationary

Project stationery

Project website

Creation of the website

Open Access Repository of FPGA accelerators

The accelerators developed for WP3 (D3.4) will be made available through the github of VINEYARD as Open Research Data Pilot.

Publicaciones

Efficient Hardware Acceleration of Recommendation Engines: A Use Case on Collaborative Filtering

Autores: Konstantinos Katsantonis, Christoforos Kachris, Dimitrios Soudris
Publicado en: Applied Reconfigurable Computing. Architectures, Tools, and Applications, Edición 10824, 2018, Página(s) 67-78, ISBN 978-3-319-78889-0
Editor: Springer International Publishing
DOI: 10.1007/978-3-319-78890-6_6

Seamless FPGA Deployment over Spark in Cloud Computing: A Use Case on Machine Learning Hardware Acceleration

Autores: Christoforos Kachris, Ioannis Stamelos, Elias Koromilas, Dimitrios Soudris
Publicado en: Applied Reconfigurable Computing. Architectures, Tools, and Applications, Edición 10824, 2018, Página(s) 673-684, ISBN 978-3-319-78889-0
Editor: Springer International Publishing
DOI: 10.1007/978-3-319-78890-6_54

The VINEYARD Approach: Versatile, Integrated, Accelerator-Based, Heterogeneous Data Centres

Autores: Christoforos Kachris, Dimitrios Soudris, Georgi Gaydadjiev, Huy-Nam Nguyen, Dimitrios S. Nikolopoulos, Angelos Bilas, Neil Morgan, Christos Strydis, Christos Tsalidis, John Balafas, Ricardo Jimenez-Peris, Alexandre Almeida
Publicado en: Applied Reconfigurable Computing, 2016, Página(s) 3-13, ISBN 978-3-319-30481-6
Editor: Springer International Publishing
DOI: 10.1007/978-3-319-30481-6_1

Acceleration of Image Classification with Caffe framework using FPGA

Autores: Danopoulos, Dimitrios; Kachris, Christoforos; Soudris, Dimitrios
Publicado en: MOCAST, Edición 1, 2018, ISBN 978-1-5386-4788-2
Editor: IEEE
DOI: 10.5281/zenodo.1244782

Exploring Functional Acceleration of OpenCL on FPGAs and GPUs Through Platform-Independent Optimizations

Autores: Minhas, Umar; Woods, Roger; Karakonstantis, Georgios
Publicado en: Minhas , U , Woods , R & Karakonstantis , G 2018 , ' Exploring Functional Acceleration of OpenCL on FPGAs and GPUs Through Platform-Independent Optimizations ' Paper presented at The 14th International Symposium on Applied Reconfigurable Computing (ARC 2018) , 02/05/2018 - 04/05/2018 , ., Edición 1, 2018, ISBN 978-3-319-78890-6
Editor: Springer
DOI: 10.5281/zenodo.1183664

Facilitating Easier Access to FPGAs in the Heterogeneous Cloud Ecosystems

Autores: Umar Ibrahim Minhas; Roger Woods; Georgios Karakonstantis
Publicado en: 2018 28th International Conference on Field Programmable Logic and Applications (FPL), Edición 1, 2018, ISBN 978-1-5386-8517-4
Editor: IEEE
DOI: 10.5281/zenodo.2586983

Evaluation of FPGA Partitioning Schemes for Time and Space Sharing of Heterogeneous Tasks

Autores: Umar Ibrahim Minhas; Roger Woods; Georgios Karakonstantis
Publicado en: ARC 2019, Edición 2, 2019
Editor: IEEE
DOI: 10.5281/zenodo.2586979

Hardware Accelerators for Financial Applications in HDL and High-Level Synthesis

Autores: Ioannis Stamoulias; Christoforos Kachris; Dimitrios Soudris
Publicado en: IEEE SAMOS 2017, Edición 1, 2017
Editor: Conference proceedings of SAMOS 2017
DOI: 10.5281/zenodo.836708

SPynq: Acceleration of Machine Learning Applications over Spark on Pynq

Autores: Christoforos Kachris; Elias Koromilas; Ioannis Stamelos; Dimitrios Soudris
Publicado en: IEEE SAMOS 2017, Edición 1, 2017
Editor: IEEE International Conference SAMOS 2017
DOI: 10.5281/zenodo.836711

From Knights Corner to Landing: a Case Study Based on a Hodgkin-Huxley Neuron Simulator

Autores: George Chatzikonstantis; Diego Jiménez; Esteban Meneses; Christos Strydis; Harry Sidiropoulos; Dimitrios Soudris
Publicado en: ISC 2017, Edición 1, 2017
Editor: Conference procedings ISC 2017
DOI: 10.5281/zenodo.836676

Spark acceleration on FPGAs: A use case on machine learning in Pynq

Autores: Koromilas, Elias; Stamelos, Ioannis; KACHRIS, Christoforos; Soudris. Dimitrios
Publicado en: IEEE MOCAST 2017, Edición 1, 2017
Editor: Conference proceedings of MOCAST 2017
DOI: 10.5281/zenodo.801506

Accelerating Data Center Applications with Reconfigurable DataFlow Engines

Autores: Barbhuiya, Sakil; Wu, Yun; Murphy, Karen; Vandierendonck, Hans; Karakonstantis, Georgios; Nikolopoulos, Dimitrios
Publicado en: H2RC 2016, Edición 1, 2016
Editor: Proceedings of the Second International Workshop on Heterogeneous High Performance Reconfigurable Computing (H2RC’16)
DOI: 10.5281/zenodo.801522

A survey on reconfigurable accelerators for cloud computing

Autores: Christoforos Kachris, Dimitrios Soudris
Publicado en: 2016 26th International Conference on Field Programmable Logic and Applications (FPL), 2016, Página(s) 1-10, ISBN 978-2-8399-1844-2
Editor: IEEE International Conference on Field-Programmable Logic and Applications (FPL) 2016
DOI: 10.1109/FPL.2016.7577381

Performance and energy evaluation of spark applications on low-power SoCs

Autores: Ioannis Stamelos, Dimitrios Soudris, Christoforos Kachris
Publicado en: 2016 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS), 2016, Página(s) 300-305, ISBN 978-1-5090-3076-7
Editor: IEEE IEEE International Symposium on Systems, Architectures, Modeling and Simulation (SAMOS'16)
DOI: 10.1109/SAMOS.2016.7818362

Performance analysis of accelerated biophysically-meaningful neuron simulations

Autores: Georgios Smaragdos, Georgios Chatzikostantis, Sofia Nomikou, Dimitrios Rodopoulos, Ioannis Sourdis, Dimitrios Soudris, Chris I. De Zeeuw, Christos Strydis
Publicado en: 2016 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), 2016, Página(s) 1-11, ISBN 978-1-5090-1953-3
Editor: IEEE International Symposium on Performance Analysis of Systems and Software ISPASS 2016
DOI: 10.1109/ISPASS.2016.7482069

First impressions from detailed brain model simulations on a Xeon/Xeon-Phi node

Autores: George Chatzikonstantis, Dimitrios Rodopoulos, Sofia Nomikou, Christos Strydis, Chris I. De Zeeuw, Dimitrios Soudris
Publicado en: Proceedings of the ACM International Conference on Computing Frontiers - CF '16, 2016, Página(s) 361-364, ISBN 9781-450341288
Editor: ACM International Conference on Computing Frontiers
DOI: 10.1145/2903150.2903477

The VINEYARD project: Versatile integrated accelerator-based heterogeneous data centres

Autores: Christoforos Kachris, Georgi Gaydadjiev, Huy-Nam Nguyen, Dimitrios S. Nikolopoulos, Angelos Bilas, Neil Morgan, Christos Strydis, Vasilis Spatadakis, Dimitris Gardelis, Ricardo Jimenez-Peris, Alexandre Almeida
Publicado en: 2016 5th International Conference on Modern Circuits and Systems Technologies (MOCAST), 2016, Página(s) 1-4, ISBN 978-1-4673-9680-6
Editor: IEEE International Conference on Modern Circuits and Systems Technologies (MOCAST)
DOI: 10.1109/MOCAST.2016.7495121

VineTalk: Simplifying Software Access and Sharing of FPGAs in Datacenters

Autores: Stelios Mavridis; Manolis Pavlidakis; Christi Symeonidou; Christos Kozanitis; Nikolaos Chrysos; Angelos Bilas; Ioannis Stamoulias; Christoforos Kachris; Dimitrios Soudris
Publicado en: IEEE FPL 2017, Edición 1, 2017
Editor: IEEE nternational Conference on Field-Programmable Logic and Applications
DOI: 10.5281/zenodo.996022

Spynq: FPGA acceleration of Spark applications in a Pynq cluster

Autores: Christoforos Kachris; Elias Koromilas; Ioannis Stamelos; Dimitrios Soudris
Publicado en: IEEE FPL 2017, Edición 1, 2017
Editor: IEEE nternational Conference on Field-Programmable Logic and Applications
DOI: 10.5281/zenodo.996016

Algorithmic and memory optimizations on multiple application mapping onto FPGAs

Autores: Harry Sidiropoulos; Ioannis Koutras; Dimitrios Soudris; Kostas Siozios
Publicado en: IEEE SAMOS 2017, Edición 1, 2017
Editor: IEEE
DOI: 10.5281/zenodo.998572

FairGV: Fair and Fast GPU Virtualization

Autores: Cheol-Ho Hong, Ivor Spence, Dimitrios S. Nikolopoulos
Publicado en: IEEE Transactions on Parallel and Distributed Systems, Edición 28/12, 2017, Página(s) 3472-3485, ISSN 1045-9219
Editor: Institute of Electrical and Electronics Engineers
DOI: 10.1109/TPDS.2017.2717908

BrainFrame: A node-level heterogeneous accelerator platform for neuron simulations

Autores: Georgios Smaragdos, Georgios Chatzikonstantis, Rahul Kukreja, Harry Sidiropoulos, Dimitrios Rodopoulos, Ioannis Sourdis, Zaid Al-Ars, Christoforos Kachris, Dimitrios Soudris, Chris de Zeeuw, Christos Strydis
Publicado en: Journal of Neural Engineering, 2017, ISSN 1741-2560
Editor: Institute of Physics Publishing
DOI: 10.1088/1741-2552/aa7fc5

VINEYARD in the HiPEAC Newsletter info 49

Autores: Christoforos Kachris
Publicado en: HiPEAC newsletter, Edición 1, 2017
Editor: HiPEAC Newsletter info 49
DOI: 10.5281/zenodo.836721

VINEYARD D8.6 Project website

Autores: Candela Bravo; Alexander Almeida
Publicado en: Edición 1, 2016
Editor: Vineyard project (Public Deliverable)
DOI: 10.5281/zenodo.51479

VINEYARD D1.1 Public Project Presentation

Autores: Christoforos Kachris; Dimitrios Soudris
Publicado en: Edición 1, 2016
Editor: VINEYARD project (Public Deliverable)
DOI: 10.5281/zenodo.51477

VINEYARD in the HiPEAC Newsletter info 45

Autores: Christoforos Kachris
Publicado en: Edición 1, 2016
Editor: HiPEAC Newsletter info 45
DOI: 10.5281/zenodo.836718

D8.3 Data Management Plan (Intermediate version)

Autores: Candela Bravo; Alexandre Almeida; Christoforos Kachris
Publicado en: Edición 1, 2016
Editor: VINEYARD Project (Public Deliverable)
DOI: 10.5281/zenodo.936394

D5.1: Accelerator Deployment Models

Autores: Eleni Kanellou; Nikolaos Chrysos; Angelos Bilas; Christoforos Kachris
Publicado en: Edición 1, 2017
Editor: VINEYARD project (Deliverable)
DOI: 10.5281/zenodo.898171

D4.2 Programming Language and Runtime System: Early Prototype (executive Summary)

Autores: Hans Vandierendonck
Publicado en: Edición 1, 2017
Editor: VINEYARD project (deliverable)
DOI: 10.5281/zenodo.898167

D2.2: Workload & Traffic Pattern Characterization (Executive Summary)

Autores: FORTH; NEURASMUS; ICCS; NEUROCOM; ATHEX; LEANXCALE
Publicado en: Edición 1, 2016
Editor: VINEYARD project (deliverable)
DOI: 10.5281/zenodo.898150

D2.3: System architecture

Autores: Christoforos Kachris; Angelos Bilas; Nikos Chrysos; Hans Vandierendonck
Publicado en: Edición 1, 2017
Editor: VINEYARD project (deliverable)
DOI: 10.5281/zenodo.898156

D4.1 Programming Language and Runtime System: Requirements

Autores: Hans Vandierendonck
Publicado en: Edición 1, 2016
Editor: VINEYARD project (Deliverable)
DOI: 10.5281/zenodo.898163

Buscando datos de OpenAIRE...

Se ha producido un error en la búsqueda de datos de OpenAIRE

No hay resultados disponibles