Skip to main content
European Commission logo
polski polski
CORDIS - Wyniki badań wspieranych przez UE
CORDIS

Versatile Integrated Accelerator-based Heterogeneous Data Centres

Rezultaty

Report on dissemination and communication activities (intermediate)

This deliverable will describe the intermediate dissemination and communication activities.

Final workshop report

Report of the final workshop with the main achievement and the working prototypes of the VINEYARD platform.

Report on dissemination and communication activities (final)

This delivrabel will describe the dissemination and communication activities for the last period.

Executive summary

Executime summary of the VINEYARD platform and the main achievements.

Application requirements and specifications

Theis Deliverable describes the application requirements that will be used for the perfromance evaluation of the VINEYARD platforms. Furthermore, this deliverable describes the specification of the applications that can be hosted in the VINEYARD platform.

Public Project Presentation

Public Website preparation and restisted website preparation for the project managemnt and coordination.

Project stationary

Project stationery

Project website

Creation of the website

Open Access Repository of FPGA accelerators

The accelerators developed for WP3 (D3.4) will be made available through the github of VINEYARD as Open Research Data Pilot.

Publikacje

Efficient Hardware Acceleration of Recommendation Engines: A Use Case on Collaborative Filtering

Autorzy: Konstantinos Katsantonis, Christoforos Kachris, Dimitrios Soudris
Opublikowane w: Applied Reconfigurable Computing. Architectures, Tools, and Applications, Numer 10824, 2018, Strona(/y) 67-78, ISBN 978-3-319-78889-0
Wydawca: Springer International Publishing
DOI: 10.1007/978-3-319-78890-6_6

Seamless FPGA Deployment over Spark in Cloud Computing: A Use Case on Machine Learning Hardware Acceleration

Autorzy: Christoforos Kachris, Ioannis Stamelos, Elias Koromilas, Dimitrios Soudris
Opublikowane w: Applied Reconfigurable Computing. Architectures, Tools, and Applications, Numer 10824, 2018, Strona(/y) 673-684, ISBN 978-3-319-78889-0
Wydawca: Springer International Publishing
DOI: 10.1007/978-3-319-78890-6_54

The VINEYARD Approach: Versatile, Integrated, Accelerator-Based, Heterogeneous Data Centres

Autorzy: Christoforos Kachris, Dimitrios Soudris, Georgi Gaydadjiev, Huy-Nam Nguyen, Dimitrios S. Nikolopoulos, Angelos Bilas, Neil Morgan, Christos Strydis, Christos Tsalidis, John Balafas, Ricardo Jimenez-Peris, Alexandre Almeida
Opublikowane w: Applied Reconfigurable Computing, 2016, Strona(/y) 3-13, ISBN 978-3-319-30481-6
Wydawca: Springer International Publishing
DOI: 10.1007/978-3-319-30481-6_1

Acceleration of Image Classification with Caffe framework using FPGA

Autorzy: Danopoulos, Dimitrios; Kachris, Christoforos; Soudris, Dimitrios
Opublikowane w: MOCAST, Numer 1, 2018, ISBN 978-1-5386-4788-2
Wydawca: IEEE
DOI: 10.5281/zenodo.1244782

Exploring Functional Acceleration of OpenCL on FPGAs and GPUs Through Platform-Independent Optimizations

Autorzy: Minhas, Umar; Woods, Roger; Karakonstantis, Georgios
Opublikowane w: Minhas , U , Woods , R & Karakonstantis , G 2018 , ' Exploring Functional Acceleration of OpenCL on FPGAs and GPUs Through Platform-Independent Optimizations ' Paper presented at The 14th International Symposium on Applied Reconfigurable Computing (ARC 2018) , 02/05/2018 - 04/05/2018 , ., Numer 1, 2018, ISBN 978-3-319-78890-6
Wydawca: Springer
DOI: 10.5281/zenodo.1183664

Facilitating Easier Access to FPGAs in the Heterogeneous Cloud Ecosystems

Autorzy: Umar Ibrahim Minhas; Roger Woods; Georgios Karakonstantis
Opublikowane w: 2018 28th International Conference on Field Programmable Logic and Applications (FPL), Numer 1, 2018, ISBN 978-1-5386-8517-4
Wydawca: IEEE
DOI: 10.5281/zenodo.2586983

Evaluation of FPGA Partitioning Schemes for Time and Space Sharing of Heterogeneous Tasks

Autorzy: Umar Ibrahim Minhas; Roger Woods; Georgios Karakonstantis
Opublikowane w: ARC 2019, Numer 2, 2019
Wydawca: IEEE
DOI: 10.5281/zenodo.2586979

Hardware Accelerators for Financial Applications in HDL and High-Level Synthesis

Autorzy: Ioannis Stamoulias; Christoforos Kachris; Dimitrios Soudris
Opublikowane w: IEEE SAMOS 2017, Numer 1, 2017
Wydawca: Conference proceedings of SAMOS 2017
DOI: 10.5281/zenodo.836708

SPynq: Acceleration of Machine Learning Applications over Spark on Pynq

Autorzy: Christoforos Kachris; Elias Koromilas; Ioannis Stamelos; Dimitrios Soudris
Opublikowane w: IEEE SAMOS 2017, Numer 1, 2017
Wydawca: IEEE International Conference SAMOS 2017
DOI: 10.5281/zenodo.836711

From Knights Corner to Landing: a Case Study Based on a Hodgkin-Huxley Neuron Simulator

Autorzy: George Chatzikonstantis; Diego Jiménez; Esteban Meneses; Christos Strydis; Harry Sidiropoulos; Dimitrios Soudris
Opublikowane w: ISC 2017, Numer 1, 2017
Wydawca: Conference procedings ISC 2017
DOI: 10.5281/zenodo.836676

Spark acceleration on FPGAs: A use case on machine learning in Pynq

Autorzy: Koromilas, Elias; Stamelos, Ioannis; KACHRIS, Christoforos; Soudris. Dimitrios
Opublikowane w: IEEE MOCAST 2017, Numer 1, 2017
Wydawca: Conference proceedings of MOCAST 2017
DOI: 10.5281/zenodo.801506

Accelerating Data Center Applications with Reconfigurable DataFlow Engines

Autorzy: Barbhuiya, Sakil; Wu, Yun; Murphy, Karen; Vandierendonck, Hans; Karakonstantis, Georgios; Nikolopoulos, Dimitrios
Opublikowane w: H2RC 2016, Numer 1, 2016
Wydawca: Proceedings of the Second International Workshop on Heterogeneous High Performance Reconfigurable Computing (H2RC’16)
DOI: 10.5281/zenodo.801522

A survey on reconfigurable accelerators for cloud computing

Autorzy: Christoforos Kachris, Dimitrios Soudris
Opublikowane w: 2016 26th International Conference on Field Programmable Logic and Applications (FPL), 2016, Strona(/y) 1-10, ISBN 978-2-8399-1844-2
Wydawca: IEEE International Conference on Field-Programmable Logic and Applications (FPL) 2016
DOI: 10.1109/FPL.2016.7577381

Performance and energy evaluation of spark applications on low-power SoCs

Autorzy: Ioannis Stamelos, Dimitrios Soudris, Christoforos Kachris
Opublikowane w: 2016 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS), 2016, Strona(/y) 300-305, ISBN 978-1-5090-3076-7
Wydawca: IEEE IEEE International Symposium on Systems, Architectures, Modeling and Simulation (SAMOS'16)
DOI: 10.1109/SAMOS.2016.7818362

Performance analysis of accelerated biophysically-meaningful neuron simulations

Autorzy: Georgios Smaragdos, Georgios Chatzikostantis, Sofia Nomikou, Dimitrios Rodopoulos, Ioannis Sourdis, Dimitrios Soudris, Chris I. De Zeeuw, Christos Strydis
Opublikowane w: 2016 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), 2016, Strona(/y) 1-11, ISBN 978-1-5090-1953-3
Wydawca: IEEE International Symposium on Performance Analysis of Systems and Software ISPASS 2016
DOI: 10.1109/ISPASS.2016.7482069

First impressions from detailed brain model simulations on a Xeon/Xeon-Phi node

Autorzy: George Chatzikonstantis, Dimitrios Rodopoulos, Sofia Nomikou, Christos Strydis, Chris I. De Zeeuw, Dimitrios Soudris
Opublikowane w: Proceedings of the ACM International Conference on Computing Frontiers - CF '16, 2016, Strona(/y) 361-364, ISBN 9781-450341288
Wydawca: ACM International Conference on Computing Frontiers
DOI: 10.1145/2903150.2903477

The VINEYARD project: Versatile integrated accelerator-based heterogeneous data centres

Autorzy: Christoforos Kachris, Georgi Gaydadjiev, Huy-Nam Nguyen, Dimitrios S. Nikolopoulos, Angelos Bilas, Neil Morgan, Christos Strydis, Vasilis Spatadakis, Dimitris Gardelis, Ricardo Jimenez-Peris, Alexandre Almeida
Opublikowane w: 2016 5th International Conference on Modern Circuits and Systems Technologies (MOCAST), 2016, Strona(/y) 1-4, ISBN 978-1-4673-9680-6
Wydawca: IEEE International Conference on Modern Circuits and Systems Technologies (MOCAST)
DOI: 10.1109/MOCAST.2016.7495121

VineTalk: Simplifying Software Access and Sharing of FPGAs in Datacenters

Autorzy: Stelios Mavridis; Manolis Pavlidakis; Christi Symeonidou; Christos Kozanitis; Nikolaos Chrysos; Angelos Bilas; Ioannis Stamoulias; Christoforos Kachris; Dimitrios Soudris
Opublikowane w: IEEE FPL 2017, Numer 1, 2017
Wydawca: IEEE nternational Conference on Field-Programmable Logic and Applications
DOI: 10.5281/zenodo.996022

Spynq: FPGA acceleration of Spark applications in a Pynq cluster

Autorzy: Christoforos Kachris; Elias Koromilas; Ioannis Stamelos; Dimitrios Soudris
Opublikowane w: IEEE FPL 2017, Numer 1, 2017
Wydawca: IEEE nternational Conference on Field-Programmable Logic and Applications
DOI: 10.5281/zenodo.996016

Algorithmic and memory optimizations on multiple application mapping onto FPGAs

Autorzy: Harry Sidiropoulos; Ioannis Koutras; Dimitrios Soudris; Kostas Siozios
Opublikowane w: IEEE SAMOS 2017, Numer 1, 2017
Wydawca: IEEE
DOI: 10.5281/zenodo.998572

FairGV: Fair and Fast GPU Virtualization

Autorzy: Cheol-Ho Hong, Ivor Spence, Dimitrios S. Nikolopoulos
Opublikowane w: IEEE Transactions on Parallel and Distributed Systems, Numer 28/12, 2017, Strona(/y) 3472-3485, ISSN 1045-9219
Wydawca: Institute of Electrical and Electronics Engineers
DOI: 10.1109/TPDS.2017.2717908

BrainFrame: A node-level heterogeneous accelerator platform for neuron simulations

Autorzy: Georgios Smaragdos, Georgios Chatzikonstantis, Rahul Kukreja, Harry Sidiropoulos, Dimitrios Rodopoulos, Ioannis Sourdis, Zaid Al-Ars, Christoforos Kachris, Dimitrios Soudris, Chris de Zeeuw, Christos Strydis
Opublikowane w: Journal of Neural Engineering, 2017, ISSN 1741-2560
Wydawca: Institute of Physics Publishing
DOI: 10.1088/1741-2552/aa7fc5

VINEYARD in the HiPEAC Newsletter info 49

Autorzy: Christoforos Kachris
Opublikowane w: HiPEAC newsletter, Numer 1, 2017
Wydawca: HiPEAC Newsletter info 49
DOI: 10.5281/zenodo.836721

VINEYARD D8.6 Project website

Autorzy: Candela Bravo; Alexander Almeida
Opublikowane w: Numer 1, 2016
Wydawca: Vineyard project (Public Deliverable)
DOI: 10.5281/zenodo.51479

VINEYARD D1.1 Public Project Presentation

Autorzy: Christoforos Kachris; Dimitrios Soudris
Opublikowane w: Numer 1, 2016
Wydawca: VINEYARD project (Public Deliverable)
DOI: 10.5281/zenodo.51477

VINEYARD in the HiPEAC Newsletter info 45

Autorzy: Christoforos Kachris
Opublikowane w: Numer 1, 2016
Wydawca: HiPEAC Newsletter info 45
DOI: 10.5281/zenodo.836718

D8.3 Data Management Plan (Intermediate version)

Autorzy: Candela Bravo; Alexandre Almeida; Christoforos Kachris
Opublikowane w: Numer 1, 2016
Wydawca: VINEYARD Project (Public Deliverable)
DOI: 10.5281/zenodo.936394

D5.1: Accelerator Deployment Models

Autorzy: Eleni Kanellou; Nikolaos Chrysos; Angelos Bilas; Christoforos Kachris
Opublikowane w: Numer 1, 2017
Wydawca: VINEYARD project (Deliverable)
DOI: 10.5281/zenodo.898171

D4.2 Programming Language and Runtime System: Early Prototype (executive Summary)

Autorzy: Hans Vandierendonck
Opublikowane w: Numer 1, 2017
Wydawca: VINEYARD project (deliverable)
DOI: 10.5281/zenodo.898167

D2.2: Workload & Traffic Pattern Characterization (Executive Summary)

Autorzy: FORTH; NEURASMUS; ICCS; NEUROCOM; ATHEX; LEANXCALE
Opublikowane w: Numer 1, 2016
Wydawca: VINEYARD project (deliverable)
DOI: 10.5281/zenodo.898150

D2.3: System architecture

Autorzy: Christoforos Kachris; Angelos Bilas; Nikos Chrysos; Hans Vandierendonck
Opublikowane w: Numer 1, 2017
Wydawca: VINEYARD project (deliverable)
DOI: 10.5281/zenodo.898156

D4.1 Programming Language and Runtime System: Requirements

Autorzy: Hans Vandierendonck
Opublikowane w: Numer 1, 2016
Wydawca: VINEYARD project (Deliverable)
DOI: 10.5281/zenodo.898163

Wyszukiwanie danych OpenAIRE...

Podczas wyszukiwania danych OpenAIRE wystąpił błąd

Brak wyników