European Commission logo
español español
CORDIS - Resultados de investigaciones de la UE
CORDIS

CoCoUnit: An Energy-Efficient Processing Unit for Cognitive Computing

Publicaciones

Omega-Test: A predictive early-Z culling to improve the graphics pipeline energy-efficiency

Autores: David Corbalan-Navarro; Juan Luis Aragon; Marti Anglada; Enrique De Lucas; Joan-Manuel Parcerisa; Antonio Gonzalez
Publicado en: IEEE Transactions on Visualization and Computer Graphics, Edición 2021, 2021, Página(s) 1-13, ISSN 1077-2626
Editor: Institute of Electrical and Electronics Engineers
DOI: 10.1109/tvcg.2021.3087863

Dynamic Sampling Rate: Harnessing Frame Coherence in Graphics Applications for Energy-Efficient GPUs

Autores: M. Anglada, E. de Lucas, J.-M. Parcerisa, J.-L. Aragón, A. González
Publicado en: The Journal of Supercomputing, 2022, ISSN 0920-8542
Editor: Kluwer Academic Publishers
DOI: 10.48550/arxiv.2202.10533

CGPA: Coarse-Grained Pruning of Activations for Energy-Efficient RNN Inference

Autores: Marc Riera, Jose-Maria Arnau, Antonio Gonzalez
Publicado en: IEEE Micro, Edición 39/5, 2019, Página(s) 36-45, ISSN 0272-1732
Editor: Institute of Electrical and Electronics Engineers
DOI: 10.1109/mm.2019.2929742

E-BATCH: Energy-Efficient and High-Throughput RNN Batching

Autores: Franyell Silfa, Jose Maria Arnau, Antonio González
Publicado en: ACM Transactions on Architecture and Code Optimization, Edición 1, 2022, Página(s) 1-23, ISSN 1544-3566
Editor: Association for Computing Machinary, Inc.
DOI: 10.1145/3499757

Irregular Accesses Reorder Unit: Improving GPGPU Memory Coalescing for Graph-Based Workloads

Autores: A. Segura, J.-M. Arnau, A. González
Publicado en: The Journal of Supercomputing, Edición 2022, 2022, ISSN 0920-8542
Editor: Kluwer Academic Publishers
DOI: 10.1007/s11227-022-04621-1

LAWS: Locality-AWare Scheme for Automatic Speech Recognition

Autores: Reza Yazdani, Jose-Maria Arnau, Antonio Gonzalez
Publicado en: IEEE Transactions on Computers, Edición Volume: 69, Edición: 8, 2020, Página(s) 1-1, ISSN 0018-9340
Editor: Institute of Electrical and Electronics Engineers
DOI: 10.1109/tc.2020.2991002

SHARP: An Adaptable, Energy-Efficient Accelerator for Recurrent Neural Networks

Autores: Reza Yazdani, Olatunji Ruwase, Minjia Zhang, Yuxiong He, Jose-Maria Arnau, Antonio Gonzalez
Publicado en: ACM Transactions on Embedded Computing Systems, Edición 2022, 2023, ISSN 1539-9087
Editor: Association for Computing Machinary, Inc.
DOI: 10.1145/3552513

LOCATOR: Low-power ORB accelerator for autonomous cars

Autores: Raúl Taranco; José-Maria Arnau; Antonio González
Publicado en: Journal of Parallel and Distributed Computing, Edición 174, 2023, Página(s) 32-45, ISSN 0743-7315
Editor: Academic Press
DOI: 10.1016/j.jpdc.2022.12.005

CREW: Computation Reuse and Efficient Weight Storage for Hardware-accelerated MLPs and RNNs

Autores: Marc Riera, Jose-Maria Arnau, Antonio Gonzalez
Publicado en: Journal of Systems Architecture, Edición 2022, 2022, Página(s) 1-12, ISSN 1383-7621
Editor: Elsevier BV
DOI: 10.1016/j.sysarc.2022.102604

Energy-Efficient Stream Compaction Through Filtering and Coalescing Accesses in GPGPU Memory Partitions

Autores: Albert Segura; Jose-Maria Arnau; Antonio Gonzalez
Publicado en: IEEE Transactions on Computers, Edición 2021, 2021, Página(s) 1-12, ISSN 0018-9340
Editor: Institute of Electrical and Electronics Engineers
DOI: 10.1109/tc.2021.3104749

A Survey of Near-Data Processing Architectures for Neural Networks

Autores: M. Hassanpour, M. Riera and A. González
Publicado en: Machine Learning and Knowledge Extraction, 2022, Página(s) 66-102, ISSN 2504-4990
Editor: MDPI
DOI: 10.48550/arxiv.2112.12630

Design and Evaluation of an Ultra Low-power Human-quality Speech Recognition System

Autores: Dennis Pinto, Jose-María Arnau, Antonio González
Publicado en: ACM Transactions on Architecture and Code Optimization, Edición 17/4, 2020, Página(s) 1-19, ISSN 1544-3566
Editor: Association for Computing Machinary, Inc.
DOI: 10.1145/3425604

Triangle Dropping: An Occluded-geometry Predictor for Energy-efficient Mobile GPUs

Autores: David Corbalan, Juan Luis Aragón, Martí Anglada, Joan-Manel Parcerisa, Antonio González
Publicado en: ACM Transactions on Architecture and Code Optimization,, Edición Volume 9, issue 3, article 39, 2022, Página(s) 1-20, ISSN 1544-3566
Editor: Association for Computing Machinary, Inc.
DOI: 10.1145/3527861

A Low-Power, High-Performance Speech Recognition Accelerator

Autores: Reza Yazdani, Jose-Maria Arnau, Antonio Gonzalez
Publicado en: IEEE Transactions on Computers, Edición 68/12, 2019, Página(s) 1817-1831, ISSN 0018-9340
Editor: Institute of Electrical and Electronics Engineers
DOI: 10.1109/tc.2019.2937075

DNN pruning with principal component analysis and connection importance estimation

Autores: Marc Riera; Jose-Maria Arnau; Antonio González
Publicado en: Journal of systems architecture, Edición 2, 2022, Página(s) 1-11, ISSN 1383-7621
Editor: Elsevier BV
DOI: 10.1016/j.sysarc.2021.102336

XFeatur: Hardware Feature Extraction for DNN Auto-tuning

Autores: J. Acosta, A. Diavastos, A. González
Publicado en: Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2022, Página(s) 132-134, ISBN 978-1-6654-5954-9
Editor: IEEE
DOI: 10.1109/ispass55109.2022.00013

Simple Out of Order Core for GPGPUs

Autores: Rodrigo Huerta; Jose-Maria Arnau; Antonio Gonzalez
Publicado en: Proceedings of the 15th Workshop on General Purpose Processing Using GPU, help in conjunction with 28th ACM SIGPLAN Annual Symposium on Principles and Practice of Parallel Processing, Edición 9, 2023, Página(s) 21-26, ISBN 979-8-4007-0776-6
Editor: ACM
DOI: 10.1145/3589236.3589244

Exploiting Kernel Compression on BNNs

Autores: F. Silfa, J.-M. Arnau and A. González
Publicado en: Proceedings of the Design Automation and Test Conference, 2023, Página(s) 1-6, ISBN 978-3-9819263-7-8
Editor: IEEE
DOI: 10.23919/date56975.2023.10137052

QeiHan: An Energy-Efficient DNN Accelerator that Leverages Logarithmic Quantization in Near-Data Processing Architectures

Autores: B. Khabbazan, M. Riera and A. González
Publicado en: Proceeding of the 32nd International Conference on Parallel Architectures and Compilation Techniques, 2023, Página(s) 325-326, ISBN 979-8-3503-4254-3
Editor: IEEE
DOI: 10.48550/arxiv.2310.18181

K-D Bonsai: ISA-Extensions to Compress K-D Trees for Autonomous Driving Tasks

Autores: P.H.E. Becker, J.-M. Arnau and A. González
Publicado en: Proceedings of the 50th International Symposium on Computer Architecture, 2023, Página(s) 275-287, ISBN 979-8-4007-0095-8
Editor: ACM
DOI: 10.1145/3579371.3589055

Boosting LSTM Performance Through Dynamic Precision Selection

Autores: Franyell Silfa; Jose-Maria Arnau; Antonio González
Publicado en: Proceedings of the IEEE International Conference on High Performance Computing, Data and Analytics, Edición 8, 2020, ISBN 978-1-6654-4650-1
Editor: IEEE
DOI: 10.1109/hipc50609.2020.00046

Sliding Window Support for Image Processing in Autonomous Vehicles

Autores: Taranco, J.-M- Arnau and A. González
Publicado en: Proceedings of the Workshop on Compute Platforms for Autonomous Vehicles, held in conjunction with the 55th IEEE/ACM International Symposium on Microarchitecture, 2022
Editor: IEEE/ACM

Improving the energy efficiency of the graphics pipeline by reducing overshading

Autores: D. Corbalán-Navarro, J.-L. Aragón, M. Anglada, E. de Lucas, J.-M. Parcerisa, A. González
Publicado en: Proceeding of the Jornadas Sarteco, 2021, Página(s) 125-134, ISBN 978-84-09-32487-3
Editor: Jornadas Sarteco

Mojtaba Abaie, Jose Maria Arnau, Jordi Tubella and Antonio Gonzalez

Autores: Lightweight Register File Caching in Collector Units for GPUs
Publicado en: Proceedings of the 15th Workshop on General Purpose Processing Using GPU, help in conjunction with 28th ACM SIGPLAN Annual Symposium on Principles and Practice of Parallel Processing, 2023, Página(s) 27-33, ISBN 979-8-4007-0776-6
Editor: ACM
DOI: 10.1145/3589236.3589245

Boustrophedonic Frames: Quasi-Optimal L2 Caching for Textures in GPUs

Autores: Diya Joseph, Juan Luis Aragon, Joan Manel Parcerisa and Antonio Gonzalez
Publicado en: Proceedings of the 32nd International Conference on Parallel Architectures and Compilation Techniques, 2023, Página(s) 124-136, ISBN 979-8-3503-4254-3
Editor: IEEE
DOI: 10.1109/pact58117.2023.00019

A Low-Power Hardware Accelerator for ORB Feature Extraction in Self-Driving Cars

Autores: Raúl Taranco; José-Maria Arnau; Antonio González
Publicado en: Proceedings of the 33rd International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD), 2021, ISBN 978-1-6654-4301-2
Editor: IEEE
DOI: 10.1109/sbac-pad53543.2021.00013

SLIDEX: Sliding Window Extension for Image Processing

Autores: Raul Taranco, Jose Maria Arnau, Antonio Gonzalez
Publicado en: Proceeding of the 32nd International Conference on Parallel Architectures and Compilation Techniques, 2023, Página(s) 332-334, ISBN 979-8-3503-4254-3
Editor: IEEE
DOI: 10.1109/pact58117.2023.00039

DTM-NUCA: Dynamic Texture Mapping-NUCA for Energy-Efficient Graphics Rendering

Autores: D. Corbalán-Navarro, J.-L. Aragón, M.-M. Parcerisa and A. González
Publicado en: Proceedings of the 30th Euromicro International Conference on Parallel, Distributed and Network-based Processing, Edición 2022, 2022, Página(s) 144-151, ISBN 978-1-6654-6958-6
Editor: IEEE
DOI: 10.1109/pdp55904.2022.00030

δLTA: Decoupling Camera Sampling from Processing to Avoid Redundant Computations in the Vision Pipeline

Autores: Raúl Taranco; José-María Arnau; Antonio González
Publicado en: Proceedings of the 56th IEEE/ACM International Symposium on Microarchitecture, Edición 3, 2023, Página(s) 1029-1043, ISBN 979-8-4007-0329-4
Editor: ACM
DOI: 10.1145/3613424.3614261

DTexL: Decoupled Raster Pipeline for Texture Locality

Autores: Joseph, J.-L. Aragón, J.-M. Parcerisa and A. González
Publicado en: Proceedings of the 55th IEEE/ACM International Symposium on Microarchitecture, 2022, Página(s) 213-227
Editor: IEEE
DOI: 10.1109/micro56248.2022.00028

TCOR: A Tile Cache with Optimal Replacement

Autores: Diya Joseph, J.-L. Aragón, J.-M. Parcerisa and A. González
Publicado en: Proceedings of the 28th IEEE International Symposium on High-Performance Computer Architecture, 2022
Editor: IEEE

MEGsim: A Novel Methodology for Efficient Simulation of Graphics Workloads in GPUs

Autores: D. Corbalán-Navarro, J.-L. Aragón, A. González
Publicado en: Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2022, Página(s) 69-78, ISBN 978-1-6654-5954-9
Editor: IEEE
DOI: 10.1109/ispass55109.2022.00007

Analyzing and Improving Hardware Modeling of Accel-Sim

Autores: R. Huerta, M. Abaie-Shoushtary and A. González
Publicado en: 1st Workshop on Computer Architecture Modeling and Simulation, held in conjunction with held in conjunction with the 56th IEEE/ACM International Symposium on Microarchitecture, 2023
Editor: IEEE/ACM
DOI: 10.48550/arxiv.2401.10082

Neuron-Level Fuzzy Memoization in RNNs

Autores: Franyell Silfa, Gem Dot, Jose-Maria Arnau, Antonio Gonzàlez
Publicado en: Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, Edición 52, 2019, Página(s) 782-793, ISBN 9781450369381
Editor: ACM
DOI: 10.1145/3352460.3358309

DNA-TEQ: An Adaptive Exponential Quantization of Tensors for DNN Inference

Autores: B. Khabbazan, M. Riera, A. Gonzalez
Publicado en: 30th IEEE International Conference on High Performance Computing, Data and Analytics, 2023, ISSN 2640-0316
Editor: IEEE
DOI: 10.48550/arxiv.2306.16430

Demystifying Power and Performance Bottlenecks in Autonomous Driving Systems

Autores: Pedro H. E. Becker, Jose Maria Arnau, Antonio Gonzalez
Publicado en: 2020 IEEE International Symposium on Workload Characterization (IISWC), Edición 2020, 2020, Página(s) 205-215, ISBN 978-1-7281-7645-1
Editor: IEEE
DOI: 10.1109/iiswc50251.2020.00028

Leveraging run-time feedback for efficient ASR acceleration

Autores: Yazdani, Reza; Arnau Montañés, José María; González Colás, Antonio María
Publicado en: Proceedings of the 28th International Conference on Parallel Architectures and Compilation Techniques, Edición 2, 2019, Página(s) 462-463, ISBN 978-1-7281-3813-4
Editor: IEEE
DOI: 10.1109/pact.2019.00046

A Programmable Accelerator for Streaming Automatic Speech Recognition on Edge Devices

Autores: Daniel Pinto, José M Arnau, Antonio González
Publicado en: Proceedings of the 6th Workshop on Cognitive Architectures, 2022, Página(s) 1-3
Editor: N.A.

Energy-Efficient Architectures for Recurrent Neural Networks

Autores: Franyell Silfa, Jose Maria Arnau, Antonio Gonzalez
Publicado en: 2021
Editor: Universitat Politècnica de Catalunya

High-Performance and Energy-Efficient Irregular Graph Processing on GPU Architectures

Autores: Albert Segura, José María Arnau, Antonio González
Publicado en: 2021
Editor: Universitat Politècnica de Catalunya

Exploiting Frame Coherence in Real-Time Rendering for Energy-Efficient GPUs

Autores: Marti Anglada, Joan Manuel Parcerisa, Antonio González
Publicado en: 2021
Editor: Universitat Politècnica de Cataunya

Low-Power Accelerators for Cognitive Computing

Autores: Marc Riera, José Maria Arnau, Antonio González
Publicado en: 2020
Editor: Universitat Politècnica de Catalunya

Diseño de GPUs Eficientes Energéticamente Explotando la Coherencia entre Fotogramas y Optimizando los Accesos a Memoria

Autores: David Corbalan
Publicado en: 2023
Editor: Universidad de Murcia

ASRPU: A Programmable Accelerator for Low-Power Automatic Speech Recognition

Autores: Dennis Pinto, Jose-Marıa Arnau, Antonio Gonzalez
Publicado en: arXiv, 2022
Editor: arXiv
DOI: 10.48550/arxiv.2202.04971

ReuseSense: With Great Reuse Comes Greater Efficiency; Effectively Employing Computation Reuse on General-Purpose CPUs

Autores: GS, Nitesh Narayana; Ordoñez, Marc; Hari, Lokananda; Silfa, Franyell; González, Antonio
Publicado en: arXiv, Edición 2, 2023, ISSN 0000-0000
Editor: N.A.
DOI: 10.48550/arxiv.2311.10487

Saving RNN Computations with a Neuron-Level Fuzzy Memoization Scheme

Autores: Franyell Silfa, Jose-Maria Arnau, Antonio González
Publicado en: arXiv, 2022
Editor: arXiv
DOI: 10.48550/arxiv.2202.06563

Exploiting Beam Search Confidence for Energy-Efficient Speech Recognition

Autores: Pinto, Dennis; Arnau, Jose-María; González, Antonio
Publicado en: arXiv, Edición 2, 2021
Editor: arXiv
DOI: 10.48550/arxiv.2101.09083

Mixture-of-Rookies: Saving DNN Computations by Predicting ReLU Outputs

Autores: D. Pinto, J.-M. Arnau, A. González
Publicado en: arXiv, 2022
Editor: arXiv
DOI: 10.48550/arxiv.2202.04990

Trends in Processor Architecture, Harnessing Performance Variability in Embedded and High Performance Many/Multi-Core Platforms – A Cross-Layer Approach

Autores: Antonio González
Publicado en: Harnessing Performance Variability in Embedded and High Performance Many/Multi-Core Platforms – A Cross-Layer Approach, 2019, Página(s) 23-42, ISBN 978-3-319-91962-1
Editor: Springer International Publishing
DOI: 10.1007/978-3-319-91962-1_2

Characterizing self-driving tasks in general-purpose architectures

Autores: Exenberger Becker, Pedro Henrique; Arnau Montañés, José María; González Colás, Antonio María
Publicado en: Advanced Computer Architecture and Compilation for High-Performance Embedded Systems, Edición 2, 2021, Página(s) 117-120, ISBN 978-88-905806-8-0
Editor: IEEE

Buscando datos de OpenAIRE...

Se ha producido un error en la búsqueda de datos de OpenAIRE

No hay resultados disponibles