European Commission logo
polski polski
CORDIS - Wyniki badań wspieranych przez UE
CORDIS

CoCoUnit: An Energy-Efficient Processing Unit for Cognitive Computing

Publikacje

Omega-Test: A predictive early-Z culling to improve the graphics pipeline energy-efficiency

Autorzy: David Corbalan-Navarro; Juan Luis Aragon; Marti Anglada; Enrique De Lucas; Joan-Manuel Parcerisa; Antonio Gonzalez
Opublikowane w: IEEE Transactions on Visualization and Computer Graphics, Numer 2021, 2021, Strona(/y) 1-13, ISSN 1077-2626
Wydawca: Institute of Electrical and Electronics Engineers
DOI: 10.1109/tvcg.2021.3087863

Dynamic Sampling Rate: Harnessing Frame Coherence in Graphics Applications for Energy-Efficient GPUs

Autorzy: M. Anglada, E. de Lucas, J.-M. Parcerisa, J.-L. Aragón, A. González
Opublikowane w: The Journal of Supercomputing, 2022, ISSN 0920-8542
Wydawca: Kluwer Academic Publishers
DOI: 10.48550/arxiv.2202.10533

CGPA: Coarse-Grained Pruning of Activations for Energy-Efficient RNN Inference

Autorzy: Marc Riera, Jose-Maria Arnau, Antonio Gonzalez
Opublikowane w: IEEE Micro, Numer 39/5, 2019, Strona(/y) 36-45, ISSN 0272-1732
Wydawca: Institute of Electrical and Electronics Engineers
DOI: 10.1109/mm.2019.2929742

E-BATCH: Energy-Efficient and High-Throughput RNN Batching

Autorzy: Franyell Silfa, Jose Maria Arnau, Antonio González
Opublikowane w: ACM Transactions on Architecture and Code Optimization, Numer 1, 2022, Strona(/y) 1-23, ISSN 1544-3566
Wydawca: Association for Computing Machinary, Inc.
DOI: 10.1145/3499757

Irregular Accesses Reorder Unit: Improving GPGPU Memory Coalescing for Graph-Based Workloads

Autorzy: A. Segura, J.-M. Arnau, A. González
Opublikowane w: The Journal of Supercomputing, Numer 2022, 2022, ISSN 0920-8542
Wydawca: Kluwer Academic Publishers
DOI: 10.1007/s11227-022-04621-1

LAWS: Locality-AWare Scheme for Automatic Speech Recognition

Autorzy: Reza Yazdani, Jose-Maria Arnau, Antonio Gonzalez
Opublikowane w: IEEE Transactions on Computers, Numer Volume: 69, Numer: 8, 2020, Strona(/y) 1-1, ISSN 0018-9340
Wydawca: Institute of Electrical and Electronics Engineers
DOI: 10.1109/tc.2020.2991002

SHARP: An Adaptable, Energy-Efficient Accelerator for Recurrent Neural Networks

Autorzy: Reza Yazdani, Olatunji Ruwase, Minjia Zhang, Yuxiong He, Jose-Maria Arnau, Antonio Gonzalez
Opublikowane w: ACM Transactions on Embedded Computing Systems, Numer 2022, 2023, ISSN 1539-9087
Wydawca: Association for Computing Machinary, Inc.
DOI: 10.1145/3552513

LOCATOR: Low-power ORB accelerator for autonomous cars

Autorzy: Raúl Taranco; José-Maria Arnau; Antonio González
Opublikowane w: Journal of Parallel and Distributed Computing, Numer 174, 2023, Strona(/y) 32-45, ISSN 0743-7315
Wydawca: Academic Press
DOI: 10.1016/j.jpdc.2022.12.005

CREW: Computation Reuse and Efficient Weight Storage for Hardware-accelerated MLPs and RNNs

Autorzy: Marc Riera, Jose-Maria Arnau, Antonio Gonzalez
Opublikowane w: Journal of Systems Architecture, Numer 2022, 2022, Strona(/y) 1-12, ISSN 1383-7621
Wydawca: Elsevier BV
DOI: 10.1016/j.sysarc.2022.102604

Energy-Efficient Stream Compaction Through Filtering and Coalescing Accesses in GPGPU Memory Partitions

Autorzy: Albert Segura; Jose-Maria Arnau; Antonio Gonzalez
Opublikowane w: IEEE Transactions on Computers, Numer 2021, 2021, Strona(/y) 1-12, ISSN 0018-9340
Wydawca: Institute of Electrical and Electronics Engineers
DOI: 10.1109/tc.2021.3104749

A Survey of Near-Data Processing Architectures for Neural Networks

Autorzy: M. Hassanpour, M. Riera and A. González
Opublikowane w: Machine Learning and Knowledge Extraction, 2022, Strona(/y) 66-102, ISSN 2504-4990
Wydawca: MDPI
DOI: 10.48550/arxiv.2112.12630

Design and Evaluation of an Ultra Low-power Human-quality Speech Recognition System

Autorzy: Dennis Pinto, Jose-María Arnau, Antonio González
Opublikowane w: ACM Transactions on Architecture and Code Optimization, Numer 17/4, 2020, Strona(/y) 1-19, ISSN 1544-3566
Wydawca: Association for Computing Machinary, Inc.
DOI: 10.1145/3425604

Triangle Dropping: An Occluded-geometry Predictor for Energy-efficient Mobile GPUs

Autorzy: David Corbalan, Juan Luis Aragón, Martí Anglada, Joan-Manel Parcerisa, Antonio González
Opublikowane w: ACM Transactions on Architecture and Code Optimization,, Numer Volume 9, issue 3, article 39, 2022, Strona(/y) 1-20, ISSN 1544-3566
Wydawca: Association for Computing Machinary, Inc.
DOI: 10.1145/3527861

A Low-Power, High-Performance Speech Recognition Accelerator

Autorzy: Reza Yazdani, Jose-Maria Arnau, Antonio Gonzalez
Opublikowane w: IEEE Transactions on Computers, Numer 68/12, 2019, Strona(/y) 1817-1831, ISSN 0018-9340
Wydawca: Institute of Electrical and Electronics Engineers
DOI: 10.1109/tc.2019.2937075

DNN pruning with principal component analysis and connection importance estimation

Autorzy: Marc Riera; Jose-Maria Arnau; Antonio González
Opublikowane w: Journal of systems architecture, Numer 2, 2022, Strona(/y) 1-11, ISSN 1383-7621
Wydawca: Elsevier BV
DOI: 10.1016/j.sysarc.2021.102336

XFeatur: Hardware Feature Extraction for DNN Auto-tuning

Autorzy: J. Acosta, A. Diavastos, A. González
Opublikowane w: Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2022, Strona(/y) 132-134, ISBN 978-1-6654-5954-9
Wydawca: IEEE
DOI: 10.1109/ispass55109.2022.00013

Simple Out of Order Core for GPGPUs

Autorzy: Rodrigo Huerta; Jose-Maria Arnau; Antonio Gonzalez
Opublikowane w: Proceedings of the 15th Workshop on General Purpose Processing Using GPU, help in conjunction with 28th ACM SIGPLAN Annual Symposium on Principles and Practice of Parallel Processing, Numer 9, 2023, Strona(/y) 21-26, ISBN 979-8-4007-0776-6
Wydawca: ACM
DOI: 10.1145/3589236.3589244

Exploiting Kernel Compression on BNNs

Autorzy: F. Silfa, J.-M. Arnau and A. González
Opublikowane w: Proceedings of the Design Automation and Test Conference, 2023, Strona(/y) 1-6, ISBN 978-3-9819263-7-8
Wydawca: IEEE
DOI: 10.23919/date56975.2023.10137052

QeiHan: An Energy-Efficient DNN Accelerator that Leverages Logarithmic Quantization in Near-Data Processing Architectures

Autorzy: B. Khabbazan, M. Riera and A. González
Opublikowane w: Proceeding of the 32nd International Conference on Parallel Architectures and Compilation Techniques, 2023, Strona(/y) 325-326, ISBN 979-8-3503-4254-3
Wydawca: IEEE
DOI: 10.48550/arxiv.2310.18181

K-D Bonsai: ISA-Extensions to Compress K-D Trees for Autonomous Driving Tasks

Autorzy: P.H.E. Becker, J.-M. Arnau and A. González
Opublikowane w: Proceedings of the 50th International Symposium on Computer Architecture, 2023, Strona(/y) 275-287, ISBN 979-8-4007-0095-8
Wydawca: ACM
DOI: 10.1145/3579371.3589055

Boosting LSTM Performance Through Dynamic Precision Selection

Autorzy: Franyell Silfa; Jose-Maria Arnau; Antonio González
Opublikowane w: Proceedings of the IEEE International Conference on High Performance Computing, Data and Analytics, Numer 8, 2020, ISBN 978-1-6654-4650-1
Wydawca: IEEE
DOI: 10.1109/hipc50609.2020.00046

Sliding Window Support for Image Processing in Autonomous Vehicles

Autorzy: Taranco, J.-M- Arnau and A. González
Opublikowane w: Proceedings of the Workshop on Compute Platforms for Autonomous Vehicles, held in conjunction with the 55th IEEE/ACM International Symposium on Microarchitecture, 2022
Wydawca: IEEE/ACM

Improving the energy efficiency of the graphics pipeline by reducing overshading

Autorzy: D. Corbalán-Navarro, J.-L. Aragón, M. Anglada, E. de Lucas, J.-M. Parcerisa, A. González
Opublikowane w: Proceeding of the Jornadas Sarteco, 2021, Strona(/y) 125-134, ISBN 978-84-09-32487-3
Wydawca: Jornadas Sarteco

Mojtaba Abaie, Jose Maria Arnau, Jordi Tubella and Antonio Gonzalez

Autorzy: Lightweight Register File Caching in Collector Units for GPUs
Opublikowane w: Proceedings of the 15th Workshop on General Purpose Processing Using GPU, help in conjunction with 28th ACM SIGPLAN Annual Symposium on Principles and Practice of Parallel Processing, 2023, Strona(/y) 27-33, ISBN 979-8-4007-0776-6
Wydawca: ACM
DOI: 10.1145/3589236.3589245

Boustrophedonic Frames: Quasi-Optimal L2 Caching for Textures in GPUs

Autorzy: Diya Joseph, Juan Luis Aragon, Joan Manel Parcerisa and Antonio Gonzalez
Opublikowane w: Proceedings of the 32nd International Conference on Parallel Architectures and Compilation Techniques, 2023, Strona(/y) 124-136, ISBN 979-8-3503-4254-3
Wydawca: IEEE
DOI: 10.1109/pact58117.2023.00019

A Low-Power Hardware Accelerator for ORB Feature Extraction in Self-Driving Cars

Autorzy: Raúl Taranco; José-Maria Arnau; Antonio González
Opublikowane w: Proceedings of the 33rd International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD), 2021, ISBN 978-1-6654-4301-2
Wydawca: IEEE
DOI: 10.1109/sbac-pad53543.2021.00013

SLIDEX: Sliding Window Extension for Image Processing

Autorzy: Raul Taranco, Jose Maria Arnau, Antonio Gonzalez
Opublikowane w: Proceeding of the 32nd International Conference on Parallel Architectures and Compilation Techniques, 2023, Strona(/y) 332-334, ISBN 979-8-3503-4254-3
Wydawca: IEEE
DOI: 10.1109/pact58117.2023.00039

DTM-NUCA: Dynamic Texture Mapping-NUCA for Energy-Efficient Graphics Rendering

Autorzy: D. Corbalán-Navarro, J.-L. Aragón, M.-M. Parcerisa and A. González
Opublikowane w: Proceedings of the 30th Euromicro International Conference on Parallel, Distributed and Network-based Processing, Numer 2022, 2022, Strona(/y) 144-151, ISBN 978-1-6654-6958-6
Wydawca: IEEE
DOI: 10.1109/pdp55904.2022.00030

δLTA: Decoupling Camera Sampling from Processing to Avoid Redundant Computations in the Vision Pipeline

Autorzy: Raúl Taranco; José-María Arnau; Antonio González
Opublikowane w: Proceedings of the 56th IEEE/ACM International Symposium on Microarchitecture, Numer 3, 2023, Strona(/y) 1029-1043, ISBN 979-8-4007-0329-4
Wydawca: ACM
DOI: 10.1145/3613424.3614261

DTexL: Decoupled Raster Pipeline for Texture Locality

Autorzy: Joseph, J.-L. Aragón, J.-M. Parcerisa and A. González
Opublikowane w: Proceedings of the 55th IEEE/ACM International Symposium on Microarchitecture, 2022, Strona(/y) 213-227
Wydawca: IEEE
DOI: 10.1109/micro56248.2022.00028

TCOR: A Tile Cache with Optimal Replacement

Autorzy: Diya Joseph, J.-L. Aragón, J.-M. Parcerisa and A. González
Opublikowane w: Proceedings of the 28th IEEE International Symposium on High-Performance Computer Architecture, 2022
Wydawca: IEEE

MEGsim: A Novel Methodology for Efficient Simulation of Graphics Workloads in GPUs

Autorzy: D. Corbalán-Navarro, J.-L. Aragón, A. González
Opublikowane w: Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2022, Strona(/y) 69-78, ISBN 978-1-6654-5954-9
Wydawca: IEEE
DOI: 10.1109/ispass55109.2022.00007

Analyzing and Improving Hardware Modeling of Accel-Sim

Autorzy: R. Huerta, M. Abaie-Shoushtary and A. González
Opublikowane w: 1st Workshop on Computer Architecture Modeling and Simulation, held in conjunction with held in conjunction with the 56th IEEE/ACM International Symposium on Microarchitecture, 2023
Wydawca: IEEE/ACM
DOI: 10.48550/arxiv.2401.10082

Neuron-Level Fuzzy Memoization in RNNs

Autorzy: Franyell Silfa, Gem Dot, Jose-Maria Arnau, Antonio Gonzàlez
Opublikowane w: Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, Numer 52, 2019, Strona(/y) 782-793, ISBN 9781450369381
Wydawca: ACM
DOI: 10.1145/3352460.3358309

DNA-TEQ: An Adaptive Exponential Quantization of Tensors for DNN Inference

Autorzy: B. Khabbazan, M. Riera, A. Gonzalez
Opublikowane w: 30th IEEE International Conference on High Performance Computing, Data and Analytics, 2023, ISSN 2640-0316
Wydawca: IEEE
DOI: 10.48550/arxiv.2306.16430

Demystifying Power and Performance Bottlenecks in Autonomous Driving Systems

Autorzy: Pedro H. E. Becker, Jose Maria Arnau, Antonio Gonzalez
Opublikowane w: 2020 IEEE International Symposium on Workload Characterization (IISWC), Numer 2020, 2020, Strona(/y) 205-215, ISBN 978-1-7281-7645-1
Wydawca: IEEE
DOI: 10.1109/iiswc50251.2020.00028

Leveraging run-time feedback for efficient ASR acceleration

Autorzy: Yazdani, Reza; Arnau Montañés, José María; González Colás, Antonio María
Opublikowane w: Proceedings of the 28th International Conference on Parallel Architectures and Compilation Techniques, Numer 2, 2019, Strona(/y) 462-463, ISBN 978-1-7281-3813-4
Wydawca: IEEE
DOI: 10.1109/pact.2019.00046

A Programmable Accelerator for Streaming Automatic Speech Recognition on Edge Devices

Autorzy: Daniel Pinto, José M Arnau, Antonio González
Opublikowane w: Proceedings of the 6th Workshop on Cognitive Architectures, 2022, Strona(/y) 1-3
Wydawca: N.A.

Energy-Efficient Architectures for Recurrent Neural Networks

Autorzy: Franyell Silfa, Jose Maria Arnau, Antonio Gonzalez
Opublikowane w: 2021
Wydawca: Universitat Politècnica de Catalunya

High-Performance and Energy-Efficient Irregular Graph Processing on GPU Architectures

Autorzy: Albert Segura, José María Arnau, Antonio González
Opublikowane w: 2021
Wydawca: Universitat Politècnica de Catalunya

Exploiting Frame Coherence in Real-Time Rendering for Energy-Efficient GPUs

Autorzy: Marti Anglada, Joan Manuel Parcerisa, Antonio González
Opublikowane w: 2021
Wydawca: Universitat Politècnica de Cataunya

Low-Power Accelerators for Cognitive Computing

Autorzy: Marc Riera, José Maria Arnau, Antonio González
Opublikowane w: 2020
Wydawca: Universitat Politècnica de Catalunya

Diseño de GPUs Eficientes Energéticamente Explotando la Coherencia entre Fotogramas y Optimizando los Accesos a Memoria

Autorzy: David Corbalan
Opublikowane w: 2023
Wydawca: Universidad de Murcia

ASRPU: A Programmable Accelerator for Low-Power Automatic Speech Recognition

Autorzy: Dennis Pinto, Jose-Marıa Arnau, Antonio Gonzalez
Opublikowane w: arXiv, 2022
Wydawca: arXiv
DOI: 10.48550/arxiv.2202.04971

ReuseSense: With Great Reuse Comes Greater Efficiency; Effectively Employing Computation Reuse on General-Purpose CPUs

Autorzy: GS, Nitesh Narayana; Ordoñez, Marc; Hari, Lokananda; Silfa, Franyell; González, Antonio
Opublikowane w: arXiv, Numer 2, 2023, ISSN 0000-0000
Wydawca: N.A.
DOI: 10.48550/arxiv.2311.10487

Saving RNN Computations with a Neuron-Level Fuzzy Memoization Scheme

Autorzy: Franyell Silfa, Jose-Maria Arnau, Antonio González
Opublikowane w: arXiv, 2022
Wydawca: arXiv
DOI: 10.48550/arxiv.2202.06563

Exploiting Beam Search Confidence for Energy-Efficient Speech Recognition

Autorzy: Pinto, Dennis; Arnau, Jose-María; González, Antonio
Opublikowane w: arXiv, Numer 2, 2021
Wydawca: arXiv
DOI: 10.48550/arxiv.2101.09083

Mixture-of-Rookies: Saving DNN Computations by Predicting ReLU Outputs

Autorzy: D. Pinto, J.-M. Arnau, A. González
Opublikowane w: arXiv, 2022
Wydawca: arXiv
DOI: 10.48550/arxiv.2202.04990

Trends in Processor Architecture, Harnessing Performance Variability in Embedded and High Performance Many/Multi-Core Platforms – A Cross-Layer Approach

Autorzy: Antonio González
Opublikowane w: Harnessing Performance Variability in Embedded and High Performance Many/Multi-Core Platforms – A Cross-Layer Approach, 2019, Strona(/y) 23-42, ISBN 978-3-319-91962-1
Wydawca: Springer International Publishing
DOI: 10.1007/978-3-319-91962-1_2

Characterizing self-driving tasks in general-purpose architectures

Autorzy: Exenberger Becker, Pedro Henrique; Arnau Montañés, José María; González Colás, Antonio María
Opublikowane w: Advanced Computer Architecture and Compilation for High-Performance Embedded Systems, Numer 2, 2021, Strona(/y) 117-120, ISBN 978-88-905806-8-0
Wydawca: IEEE

Wyszukiwanie danych OpenAIRE...

Podczas wyszukiwania danych OpenAIRE wystąpił błąd

Brak wyników