European Commission logo
français français
CORDIS - Résultats de la recherche de l’UE
CORDIS

CoCoUnit: An Energy-Efficient Processing Unit for Cognitive Computing

Publications

Omega-Test: A predictive early-Z culling to improve the graphics pipeline energy-efficiency

Auteurs: David Corbalan-Navarro; Juan Luis Aragon; Marti Anglada; Enrique De Lucas; Joan-Manuel Parcerisa; Antonio Gonzalez
Publié dans: IEEE Transactions on Visualization and Computer Graphics, Numéro 2021, 2021, Page(s) 1-13, ISSN 1077-2626
Éditeur: Institute of Electrical and Electronics Engineers
DOI: 10.1109/tvcg.2021.3087863

Dynamic Sampling Rate: Harnessing Frame Coherence in Graphics Applications for Energy-Efficient GPUs

Auteurs: M. Anglada, E. de Lucas, J.-M. Parcerisa, J.-L. Aragón, A. González
Publié dans: The Journal of Supercomputing, 2022, ISSN 0920-8542
Éditeur: Kluwer Academic Publishers
DOI: 10.48550/arxiv.2202.10533

CGPA: Coarse-Grained Pruning of Activations for Energy-Efficient RNN Inference

Auteurs: Marc Riera, Jose-Maria Arnau, Antonio Gonzalez
Publié dans: IEEE Micro, Numéro 39/5, 2019, Page(s) 36-45, ISSN 0272-1732
Éditeur: Institute of Electrical and Electronics Engineers
DOI: 10.1109/mm.2019.2929742

E-BATCH: Energy-Efficient and High-Throughput RNN Batching

Auteurs: Franyell Silfa, Jose Maria Arnau, Antonio González
Publié dans: ACM Transactions on Architecture and Code Optimization, Numéro 1, 2022, Page(s) 1-23, ISSN 1544-3566
Éditeur: Association for Computing Machinary, Inc.
DOI: 10.1145/3499757

Irregular Accesses Reorder Unit: Improving GPGPU Memory Coalescing for Graph-Based Workloads

Auteurs: A. Segura, J.-M. Arnau, A. González
Publié dans: The Journal of Supercomputing, Numéro 2022, 2022, ISSN 0920-8542
Éditeur: Kluwer Academic Publishers
DOI: 10.1007/s11227-022-04621-1

LAWS: Locality-AWare Scheme for Automatic Speech Recognition

Auteurs: Reza Yazdani, Jose-Maria Arnau, Antonio Gonzalez
Publié dans: IEEE Transactions on Computers, Numéro Volume: 69, Numéro: 8, 2020, Page(s) 1-1, ISSN 0018-9340
Éditeur: Institute of Electrical and Electronics Engineers
DOI: 10.1109/tc.2020.2991002

SHARP: An Adaptable, Energy-Efficient Accelerator for Recurrent Neural Networks

Auteurs: Reza Yazdani, Olatunji Ruwase, Minjia Zhang, Yuxiong He, Jose-Maria Arnau, Antonio Gonzalez
Publié dans: ACM Transactions on Embedded Computing Systems, Numéro 2022, 2023, ISSN 1539-9087
Éditeur: Association for Computing Machinary, Inc.
DOI: 10.1145/3552513

LOCATOR: Low-power ORB accelerator for autonomous cars

Auteurs: Raúl Taranco; José-Maria Arnau; Antonio González
Publié dans: Journal of Parallel and Distributed Computing, Numéro 174, 2023, Page(s) 32-45, ISSN 0743-7315
Éditeur: Academic Press
DOI: 10.1016/j.jpdc.2022.12.005

CREW: Computation Reuse and Efficient Weight Storage for Hardware-accelerated MLPs and RNNs

Auteurs: Marc Riera, Jose-Maria Arnau, Antonio Gonzalez
Publié dans: Journal of Systems Architecture, Numéro 2022, 2022, Page(s) 1-12, ISSN 1383-7621
Éditeur: Elsevier BV
DOI: 10.1016/j.sysarc.2022.102604

Energy-Efficient Stream Compaction Through Filtering and Coalescing Accesses in GPGPU Memory Partitions

Auteurs: Albert Segura; Jose-Maria Arnau; Antonio Gonzalez
Publié dans: IEEE Transactions on Computers, Numéro 2021, 2021, Page(s) 1-12, ISSN 0018-9340
Éditeur: Institute of Electrical and Electronics Engineers
DOI: 10.1109/tc.2021.3104749

A Survey of Near-Data Processing Architectures for Neural Networks

Auteurs: M. Hassanpour, M. Riera and A. González
Publié dans: Machine Learning and Knowledge Extraction, 2022, Page(s) 66-102, ISSN 2504-4990
Éditeur: MDPI
DOI: 10.48550/arxiv.2112.12630

Design and Evaluation of an Ultra Low-power Human-quality Speech Recognition System

Auteurs: Dennis Pinto, Jose-María Arnau, Antonio González
Publié dans: ACM Transactions on Architecture and Code Optimization, Numéro 17/4, 2020, Page(s) 1-19, ISSN 1544-3566
Éditeur: Association for Computing Machinary, Inc.
DOI: 10.1145/3425604

Triangle Dropping: An Occluded-geometry Predictor for Energy-efficient Mobile GPUs

Auteurs: David Corbalan, Juan Luis Aragón, Martí Anglada, Joan-Manel Parcerisa, Antonio González
Publié dans: ACM Transactions on Architecture and Code Optimization,, Numéro Volume 9, issue 3, article 39, 2022, Page(s) 1-20, ISSN 1544-3566
Éditeur: Association for Computing Machinary, Inc.
DOI: 10.1145/3527861

A Low-Power, High-Performance Speech Recognition Accelerator

Auteurs: Reza Yazdani, Jose-Maria Arnau, Antonio Gonzalez
Publié dans: IEEE Transactions on Computers, Numéro 68/12, 2019, Page(s) 1817-1831, ISSN 0018-9340
Éditeur: Institute of Electrical and Electronics Engineers
DOI: 10.1109/tc.2019.2937075

DNN pruning with principal component analysis and connection importance estimation

Auteurs: Marc Riera; Jose-Maria Arnau; Antonio González
Publié dans: Journal of systems architecture, Numéro 2, 2022, Page(s) 1-11, ISSN 1383-7621
Éditeur: Elsevier BV
DOI: 10.1016/j.sysarc.2021.102336

XFeatur: Hardware Feature Extraction for DNN Auto-tuning

Auteurs: J. Acosta, A. Diavastos, A. González
Publié dans: Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2022, Page(s) 132-134, ISBN 978-1-6654-5954-9
Éditeur: IEEE
DOI: 10.1109/ispass55109.2022.00013

Simple Out of Order Core for GPGPUs

Auteurs: Rodrigo Huerta; Jose-Maria Arnau; Antonio Gonzalez
Publié dans: Proceedings of the 15th Workshop on General Purpose Processing Using GPU, help in conjunction with 28th ACM SIGPLAN Annual Symposium on Principles and Practice of Parallel Processing, Numéro 9, 2023, Page(s) 21-26, ISBN 979-8-4007-0776-6
Éditeur: ACM
DOI: 10.1145/3589236.3589244

Exploiting Kernel Compression on BNNs

Auteurs: F. Silfa, J.-M. Arnau and A. González
Publié dans: Proceedings of the Design Automation and Test Conference, 2023, Page(s) 1-6, ISBN 978-3-9819263-7-8
Éditeur: IEEE
DOI: 10.23919/date56975.2023.10137052

QeiHan: An Energy-Efficient DNN Accelerator that Leverages Logarithmic Quantization in Near-Data Processing Architectures

Auteurs: B. Khabbazan, M. Riera and A. González
Publié dans: Proceeding of the 32nd International Conference on Parallel Architectures and Compilation Techniques, 2023, Page(s) 325-326, ISBN 979-8-3503-4254-3
Éditeur: IEEE
DOI: 10.48550/arxiv.2310.18181

K-D Bonsai: ISA-Extensions to Compress K-D Trees for Autonomous Driving Tasks

Auteurs: P.H.E. Becker, J.-M. Arnau and A. González
Publié dans: Proceedings of the 50th International Symposium on Computer Architecture, 2023, Page(s) 275-287, ISBN 979-8-4007-0095-8
Éditeur: ACM
DOI: 10.1145/3579371.3589055

Boosting LSTM Performance Through Dynamic Precision Selection

Auteurs: Franyell Silfa; Jose-Maria Arnau; Antonio González
Publié dans: Proceedings of the IEEE International Conference on High Performance Computing, Data and Analytics, Numéro 8, 2020, ISBN 978-1-6654-4650-1
Éditeur: IEEE
DOI: 10.1109/hipc50609.2020.00046

Sliding Window Support for Image Processing in Autonomous Vehicles

Auteurs: Taranco, J.-M- Arnau and A. González
Publié dans: Proceedings of the Workshop on Compute Platforms for Autonomous Vehicles, held in conjunction with the 55th IEEE/ACM International Symposium on Microarchitecture, 2022
Éditeur: IEEE/ACM

Improving the energy efficiency of the graphics pipeline by reducing overshading

Auteurs: D. Corbalán-Navarro, J.-L. Aragón, M. Anglada, E. de Lucas, J.-M. Parcerisa, A. González
Publié dans: Proceeding of the Jornadas Sarteco, 2021, Page(s) 125-134, ISBN 978-84-09-32487-3
Éditeur: Jornadas Sarteco

Mojtaba Abaie, Jose Maria Arnau, Jordi Tubella and Antonio Gonzalez

Auteurs: Lightweight Register File Caching in Collector Units for GPUs
Publié dans: Proceedings of the 15th Workshop on General Purpose Processing Using GPU, help in conjunction with 28th ACM SIGPLAN Annual Symposium on Principles and Practice of Parallel Processing, 2023, Page(s) 27-33, ISBN 979-8-4007-0776-6
Éditeur: ACM
DOI: 10.1145/3589236.3589245

Boustrophedonic Frames: Quasi-Optimal L2 Caching for Textures in GPUs

Auteurs: Diya Joseph, Juan Luis Aragon, Joan Manel Parcerisa and Antonio Gonzalez
Publié dans: Proceedings of the 32nd International Conference on Parallel Architectures and Compilation Techniques, 2023, Page(s) 124-136, ISBN 979-8-3503-4254-3
Éditeur: IEEE
DOI: 10.1109/pact58117.2023.00019

A Low-Power Hardware Accelerator for ORB Feature Extraction in Self-Driving Cars

Auteurs: Raúl Taranco; José-Maria Arnau; Antonio González
Publié dans: Proceedings of the 33rd International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD), 2021, ISBN 978-1-6654-4301-2
Éditeur: IEEE
DOI: 10.1109/sbac-pad53543.2021.00013

SLIDEX: Sliding Window Extension for Image Processing

Auteurs: Raul Taranco, Jose Maria Arnau, Antonio Gonzalez
Publié dans: Proceeding of the 32nd International Conference on Parallel Architectures and Compilation Techniques, 2023, Page(s) 332-334, ISBN 979-8-3503-4254-3
Éditeur: IEEE
DOI: 10.1109/pact58117.2023.00039

DTM-NUCA: Dynamic Texture Mapping-NUCA for Energy-Efficient Graphics Rendering

Auteurs: D. Corbalán-Navarro, J.-L. Aragón, M.-M. Parcerisa and A. González
Publié dans: Proceedings of the 30th Euromicro International Conference on Parallel, Distributed and Network-based Processing, Numéro 2022, 2022, Page(s) 144-151, ISBN 978-1-6654-6958-6
Éditeur: IEEE
DOI: 10.1109/pdp55904.2022.00030

δLTA: Decoupling Camera Sampling from Processing to Avoid Redundant Computations in the Vision Pipeline

Auteurs: Raúl Taranco; José-María Arnau; Antonio González
Publié dans: Proceedings of the 56th IEEE/ACM International Symposium on Microarchitecture, Numéro 3, 2023, Page(s) 1029-1043, ISBN 979-8-4007-0329-4
Éditeur: ACM
DOI: 10.1145/3613424.3614261

DTexL: Decoupled Raster Pipeline for Texture Locality

Auteurs: Joseph, J.-L. Aragón, J.-M. Parcerisa and A. González
Publié dans: Proceedings of the 55th IEEE/ACM International Symposium on Microarchitecture, 2022, Page(s) 213-227
Éditeur: IEEE
DOI: 10.1109/micro56248.2022.00028

TCOR: A Tile Cache with Optimal Replacement

Auteurs: Diya Joseph, J.-L. Aragón, J.-M. Parcerisa and A. González
Publié dans: Proceedings of the 28th IEEE International Symposium on High-Performance Computer Architecture, 2022
Éditeur: IEEE

MEGsim: A Novel Methodology for Efficient Simulation of Graphics Workloads in GPUs

Auteurs: D. Corbalán-Navarro, J.-L. Aragón, A. González
Publié dans: Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2022, Page(s) 69-78, ISBN 978-1-6654-5954-9
Éditeur: IEEE
DOI: 10.1109/ispass55109.2022.00007

Analyzing and Improving Hardware Modeling of Accel-Sim

Auteurs: R. Huerta, M. Abaie-Shoushtary and A. González
Publié dans: 1st Workshop on Computer Architecture Modeling and Simulation, held in conjunction with held in conjunction with the 56th IEEE/ACM International Symposium on Microarchitecture, 2023
Éditeur: IEEE/ACM
DOI: 10.48550/arxiv.2401.10082

Neuron-Level Fuzzy Memoization in RNNs

Auteurs: Franyell Silfa, Gem Dot, Jose-Maria Arnau, Antonio Gonzàlez
Publié dans: Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, Numéro 52, 2019, Page(s) 782-793, ISBN 9781450369381
Éditeur: ACM
DOI: 10.1145/3352460.3358309

DNA-TEQ: An Adaptive Exponential Quantization of Tensors for DNN Inference

Auteurs: B. Khabbazan, M. Riera, A. Gonzalez
Publié dans: 30th IEEE International Conference on High Performance Computing, Data and Analytics, 2023, ISSN 2640-0316
Éditeur: IEEE
DOI: 10.48550/arxiv.2306.16430

Demystifying Power and Performance Bottlenecks in Autonomous Driving Systems

Auteurs: Pedro H. E. Becker, Jose Maria Arnau, Antonio Gonzalez
Publié dans: 2020 IEEE International Symposium on Workload Characterization (IISWC), Numéro 2020, 2020, Page(s) 205-215, ISBN 978-1-7281-7645-1
Éditeur: IEEE
DOI: 10.1109/iiswc50251.2020.00028

Leveraging run-time feedback for efficient ASR acceleration

Auteurs: Yazdani, Reza; Arnau Montañés, José María; González Colás, Antonio María
Publié dans: Proceedings of the 28th International Conference on Parallel Architectures and Compilation Techniques, Numéro 2, 2019, Page(s) 462-463, ISBN 978-1-7281-3813-4
Éditeur: IEEE
DOI: 10.1109/pact.2019.00046

A Programmable Accelerator for Streaming Automatic Speech Recognition on Edge Devices

Auteurs: Daniel Pinto, José M Arnau, Antonio González
Publié dans: Proceedings of the 6th Workshop on Cognitive Architectures, 2022, Page(s) 1-3
Éditeur: N.A.

Energy-Efficient Architectures for Recurrent Neural Networks

Auteurs: Franyell Silfa, Jose Maria Arnau, Antonio Gonzalez
Publié dans: 2021
Éditeur: Universitat Politècnica de Catalunya

High-Performance and Energy-Efficient Irregular Graph Processing on GPU Architectures

Auteurs: Albert Segura, José María Arnau, Antonio González
Publié dans: 2021
Éditeur: Universitat Politècnica de Catalunya

Exploiting Frame Coherence in Real-Time Rendering for Energy-Efficient GPUs

Auteurs: Marti Anglada, Joan Manuel Parcerisa, Antonio González
Publié dans: 2021
Éditeur: Universitat Politècnica de Cataunya

Low-Power Accelerators for Cognitive Computing

Auteurs: Marc Riera, José Maria Arnau, Antonio González
Publié dans: 2020
Éditeur: Universitat Politècnica de Catalunya

Diseño de GPUs Eficientes Energéticamente Explotando la Coherencia entre Fotogramas y Optimizando los Accesos a Memoria

Auteurs: David Corbalan
Publié dans: 2023
Éditeur: Universidad de Murcia

ASRPU: A Programmable Accelerator for Low-Power Automatic Speech Recognition

Auteurs: Dennis Pinto, Jose-Marıa Arnau, Antonio Gonzalez
Publié dans: arXiv, 2022
Éditeur: arXiv
DOI: 10.48550/arxiv.2202.04971

ReuseSense: With Great Reuse Comes Greater Efficiency; Effectively Employing Computation Reuse on General-Purpose CPUs

Auteurs: GS, Nitesh Narayana; Ordoñez, Marc; Hari, Lokananda; Silfa, Franyell; González, Antonio
Publié dans: arXiv, Numéro 2, 2023, ISSN 0000-0000
Éditeur: N.A.
DOI: 10.48550/arxiv.2311.10487

Saving RNN Computations with a Neuron-Level Fuzzy Memoization Scheme

Auteurs: Franyell Silfa, Jose-Maria Arnau, Antonio González
Publié dans: arXiv, 2022
Éditeur: arXiv
DOI: 10.48550/arxiv.2202.06563

Exploiting Beam Search Confidence for Energy-Efficient Speech Recognition

Auteurs: Pinto, Dennis; Arnau, Jose-María; González, Antonio
Publié dans: arXiv, Numéro 2, 2021
Éditeur: arXiv
DOI: 10.48550/arxiv.2101.09083

Mixture-of-Rookies: Saving DNN Computations by Predicting ReLU Outputs

Auteurs: D. Pinto, J.-M. Arnau, A. González
Publié dans: arXiv, 2022
Éditeur: arXiv
DOI: 10.48550/arxiv.2202.04990

Trends in Processor Architecture, Harnessing Performance Variability in Embedded and High Performance Many/Multi-Core Platforms – A Cross-Layer Approach

Auteurs: Antonio González
Publié dans: Harnessing Performance Variability in Embedded and High Performance Many/Multi-Core Platforms – A Cross-Layer Approach, 2019, Page(s) 23-42, ISBN 978-3-319-91962-1
Éditeur: Springer International Publishing
DOI: 10.1007/978-3-319-91962-1_2

Characterizing self-driving tasks in general-purpose architectures

Auteurs: Exenberger Becker, Pedro Henrique; Arnau Montañés, José María; González Colás, Antonio María
Publié dans: Advanced Computer Architecture and Compilation for High-Performance Embedded Systems, Numéro 2, 2021, Page(s) 117-120, ISBN 978-88-905806-8-0
Éditeur: IEEE

Recherche de données OpenAIRE...

Une erreur s’est produite lors de la recherche de données OpenAIRE

Aucun résultat disponible