European Commission logo
français français
CORDIS - Résultats de la recherche de l’UE
CORDIS
Contenu archivé le 2024-05-27

Nanoresonators with Integrated circuitry for high sensitivity and high resolution mass detection

Article Category

Article available in the following languages:

Nanocantilevers capteurs de masses sur une puce

La miniaturisation des dimensions des cantilevers accroît tant la masse que la résolution spatiale des capteurs de masses à base de cantilevers résonants. Ainsi, une méthode de fabrication dédiée pour les cantilevers d'échelle nanométrique a été créée.

Technologies industrielles icon Technologies industrielles

La détection des masses s'appuie sur la surveillance de l'écart de fréquence de résonance lorsque des particules de tailles nanométriques sont déposées sur des cantilevers électroniquement excités par une électrode située à une distance inférieure au millimètre. Cependant, la magnitude du courant à détecter est proportionnelle à la capacitance de couplage entre le cantilever et le pilote. Pour éliminer la capacitance parasite introduite par les broches et les câbles composites externes, des résonateurs à cantilevers ont été intégrés en mode monolithique avec des circuits de conditionnement de signal CMOS (Complementary Metal Oxide Semiconductor, ou semi-conducteur à oxyde métallique complémentaire). En particulier, le projet NANOMASS II a permis de mettre au point la méthodologie nécessaire pour combiner la technologie CMOS standard et les techniques de nanofabrication des cantilevers résonants. Plus précisément, dans les laboratoires de l'université de technologie du Danemark, des nanocantilevers ont été intégrés aux circuits CMOS par traitement différé d'une puce CMOS. Des ouvertures locales ont été pratiquées dans la couche de passivation supérieure du CMOS et la couche de polysilicium supérieure a été gravée jusqu'à l'oxyde thermique d'épaisseur 100nm. L'étape suivante a consisté à déposer une fine couche d'aluminium (Al) sur l'oxyde, qui a ensuite servi de masque de gravure pour transférer la structure de cantilever sur la couche de polysilicium inférieure du CMOS. Pour définir le motif du cantilever, on a associé la lithographie à faisceau d'électrons à faible énergie et la lithographie DWL (direct-write laser lithography, ou lithographie laser par écriture directe), ce qui devrait permettre des réductions considérables des coûts de fabrication. Avant de libérer le cantilever dans une solution tampon d'acide BHF (pour buffered hydrofluoric acid), un revêtement photorésist d'appoint a été appliqué et une ouverture a été pratiquée dans les structures fabriquées pour éliminer la friction statique. Les cantilevers dont les dimensions approchent la taille de grain du polysilicium sont finalement libérés à sec après incinération au plasma d'oxygène. L'optimisation du grain de polysilicium a été tentée par les partenaires du projet NANOMASS II, mais il n'a pas été possible d'améliorer la structure en raison des limitations du traitement CMOS. La poursuite de la miniaturisation du cantilever devra faire appel à d'autres matériaux, notamment des métaux amorphes ou du silicium monocristallin.

Découvrir d’autres articles du même domaine d’application