European Commission logo
español español
CORDIS - Resultados de investigaciones de la UE
CORDIS

Seven Nanometer Technology

Periodic Reporting for period 3 - SeNaTe (Seven Nanometer Technology)

Período documentado: 2017-04-01 hasta 2018-03-31

The project enables European suppliers to develop tool capabilities for the next generation 7nm IC technology to enable the industry to keep on Moore’s law projection and direction which aim at 7nm manufacturing in 2018. The project demonstrated successfully 7nm IC technology integration on real devices in the imec pilot line. Innovative device architecture is used and a lithographic platform for EUV and immersion technology is demonstrated as well as the application of advanced process and holistic metrology platforms, new materials and mask infrastructure. FEOL and BEOL modules are developed and characterized with the different metrology and the best options for patterning and process steps, minimizing cost, increasing performance, limiting variability and supporting 7nm module readiness are evaluated in accordance with the industry needs and the ITRS roadmap.
ASML concentrated on the integration of large subsystems and the subsequent qualification of the 1st EUV lithographic system for the 7nm node. It has shown to meet all requirements, including the productivity of 125 WpH. Further, ASML finished the feasibility of the Hyper NA EUV system.
DEMCON has realized and tested a new qualification tool for the next generation positioning module. Functionality and performance of the positioning module was demonstrated.
Fraunhofer achieved within one deposition process, reduction of layer roughness, improvement of stress uniformity on flat and strongly curved substrate surfaces, extension of mask deposition technique for fabrication of free-form thickness profiles to substrates with up to 300 mm diameter. This enables the manufacturing of high reflective, low stray light and low intrinsic stress coatings for Hyper NA EUV mirrors.
A complete process line to manufacture X-large DOE’s is installed at IMS and 17” DOE demonstrators are processed.
VDL-ETG has supported the integration and qualification of EUV source systems.
Zeiss continued the development of the technological basis for the Hyper NA EUV projection lens. The concept for the production of the oversized mirrors was created and the concept for the measurement of aspherical mirrors was successfully evaluated. The deposition technology for multilayer reflection surfaces was developed.
During the project time span, the metrology partners developed and qualified metrology tools and modules for the 7nm node. Samples from the imec’s pilot line were distributed to the partners for process characterization.
AMIL developed and qualified a next generation optical wafer inspection and an E-beam inspection and review tool.
The T800 next generation OCD tool from Nova includes a new information channel which add new applications to the T600MMSR current state of the art.
Integrated overlay by KTI saves a precious FAB real estate and therefore improves the overlay metrology cost of ownership.
FEI completed CDSEM application development for high resolution imaging of 7nm gate layer and next generation FIB/SEM and near line TEM EDS developments with 7nm qualifications.
New process steps are developed by Applied Materials, ASM and Lan Research enabling 7nm technology by using innovative process upgrades of different platforms either installed at imec or at supplier sites. The development of new processes includes multi-patterning schemes and different process options for BEOL and FEOL.
An extensive material optimization was conducted to single out an alternative EUV mask absorber to mitigate M3D effects, while fulfilling mask requirements. Most interesting materials from lithographic perspective pose extreme challenges on the current technology of subtractive patterning (etching) and of e-beam repair.
The work on alternative candidate membrane films resulted in several solutions, e.g. carbon nanotubes. For baseline pSi-based membranes developed by ASML, a first commercial supplier for pellicles is available. The commercial pellicle shows good results on CD and EUV power performance.
Fraunhofer IISB completed the search into attenuated EUV phase shift materials using imaging simulations and the experimental verification was included.
Developing the mask maintenance infrastructure by SUSS has started on the MaskTrackPro and a test has been executed demonstrating the compatibility of its handling with of a reticle with pellicle.
From the patterning options validation based on the 193i-multi patterning vs single exposure EUV pattering benchmark for all the critical layers, a final set of design rules was deduced.
The FEOL and MOL process assumptions were validated, and modules were built. All required process steps for the respective modules are developed.
The BEOL patterning options validation was conducted for all critical layers. Process optimizations including benchmarking of different metallization options were performed. The BEOL electrical integration highlighted the process window marginality for hybrid Metal 2 patterning. The latter triggered a mild relaxation of the T2T design rule, paving the path for EUV SE for M2 and M2/V1 dual damascene integration.
Full device integration and electrical characterization was done. In a first electrical lot low-k dielectric spacers were successfully integrated to overcome the capacitance increase caused by the scaled contacted poly pitch for 7nm node technology.
The project resulted in 14 open air publications and 56 project publications, and 33 patents.
The first EUV lithographic system for the 7nm node is adopted by major customers to be implemented in HVM. Zeiss advanced the development of the Hyper NA EUV projection lens and IMS developed unique technology to manufacture large substrate DOEs. Both developments will secure the state of the art EUV lithographic optical systems for future 5 and 3 nm technology nodes.
Development of next generation E-beam/Optical inspection and review, OCD, X-ray, STEM and Overlay high resolution and throughput tools and holistic metrology methodologies support the 7nm technology node development at imec and worldwide.
Applied Materials developed new films and processes for 7nm hard mask and CD shrinkage, for low k dielectric, barrier, Cu fill and Cu CMP, for extension ion implantation and work-function metals deposition, and for selective etch to enable GAA. The horizontal GAA process development by ASM is highly innovative and applied for the first time. Ultrathin (3nm) and closed low temperature SiN spacers processes are highly challenging. All by Lam Research targeted applications, are clearly beyond the state of the art and have reached a maturity level that enables integration in a full 7nm flow in the imec pilot line.
Through the publications of results at international conferences and the organization of an industry-open technical forum, the industry was convinced and unified on the benefit of EUV mask absorber change for the current and future technology nodes.
The development of freestanding membranes for an EUV pellicle solution is driven to a new level.
Within limits multi-layer defect are reported repairable for nodes >7nm. Also pattern-shift limitations and capabilities are demonstrated within the limits of what ML-defects are pre-detected on blanks and of readily achievable alignment.
The consortium demonstrate that the required competences are available to produce silicon devices which meet the morphological specifications for the 7nm node technology, and demonstrate the electrical performance.
Impact of core taper angle on subsequent process steps (left) TEM of Fin array after reveal (right)
Upgraded position podule qualification tool developed by DEMCO
Holistic metrology reference and modeling can be seen in the image
Gate All Around module development
3D Model of Test Vehicle for Self-Aligned Contact (SAC) Etch
8.5nm fins @ 22.5nm pitch using 193i SAQP multiple patterning
Intermediate result of 7nm BEOL Patterning and Metallization
Example of actual 7 nm ithographic scanner large submodules developed by ASML and partners
The image shows the partially equipped source vessel during final integration
Result of 7nm Fin Patterning in FEOL via Self-Aligned Quadruple Patterning (SAQP)
Metallization of 16nm line/space metal 1 lines using CVD Mn/Ru barrier liner / Cu plating technology
EUV Single Exposure based block patterning using metal containing photo resist
Virtual fabrication flow of SAQP for N7_N5 Silicon Fins
Highlights from FEOL and MOL module development