European Commission logo
español español
CORDIS - Resultados de investigaciones de la UE
CORDIS

CarbON Nanotube compositE InterconneCTs

Resultado final

Publicaciones

Physical Description and Analysis of Doped Carbon Nanotube Interconnects

Autores: J. Liang, L. Zhang, N. Azemard-Crestani, P. Nouet, A. Todri-Sanial
Publicado en: 2016 IEEE Power and Timing Modeling, Optimization and Simulation, 2016
Editor: IEEE Power and Timing Modeling, Optimization and Simulation (PATMOS)

Present and future prospects of carbon nanotube interconnects for energy efficient integrated circuits

Autores: Aida Todri-Sanial, Alessandro Magnani, Massimiliano de Magistris, Antonio Maffucci
Publicado en: 2016 17th International Conference on Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Microsystems (EuroSimE), 2016, Página(s) 1-5, ISBN 978-1-5090-2106-2
Editor: IEEE
DOI: 10.1109/EuroSimE.2016.7463379

Investigation of Electrical and Thermal Properties of Carbon Nanotube Interconnects

Autores: A. Todri-Sanial
Publicado en: 2016 IEEE Power and Timing Modeling, Optimization and Simulation, 2016
Editor: IEEE Power and Timing Modeling, Optimization and Simulation (PATMOS)

Carbon Nanotubes for Interconnects

Autores: Jie Liang, Aida Todri-Sanial
Publicado en: 2016 IEEE International Conference on Simulation of Semiconductor Processes and Devices, 2016
Editor: SISPAD 2016

Tools for Simulation Workflow Management and their Application to Interconnect Modelling

Autores: S. M. Amoroso, A. Pender, A. Brown, D. Reid, E. Towie, P. Asenov, C. Millar and A. Asenov
Publicado en: 2016 IEEE International Conference on Simulation of Semiconductor Processes and Devices, 2016
Editor: SISPAD 2016

Status and Future of Advanced Interconnects and the Needs for Simulation

Autores: Olivier Faynot, Severine Cheramy, Maud Vinet, Sylvain Maitrejean
Publicado en: 2016 IEEE International Conference on Simulation of Semiconductor Processes and Devices, 2016
Editor: SISPAD 2016

Challenges and Progress on Carbon Nanotube Integration for BEOL Interconnects

Autores: B. Uhlig, A. Dhavamani, N. Nagy, K. Lilienthal, R. Liske, R. Ramos, J. Dijon, H. Okuno, D. Kalita, J. Lee, V. Georgiev, A. Asenov, S. Amoroso, L. Wang, F. Koenemann, B. Gotsmann, G. Goncalves, B. Chen, J. Liang, R. R. Pandey, R. Chen, A. Todri-Sanial
Publicado en: 2018 IEEE International Interconnect Technology Conference (IITC), 2018, Página(s) 16-18, ISBN 978-1-5386-4337-2
Editor: IEEE
DOI: 10.1109/IITC.2018.8430411

Atomistic to circuit level modeling of defective doped SWCNTs with contacts for on-chip interconnect application

Autores: J. Liang, J. Lee, S. Berrada, V. Georgiev, A. Asenov, N. Azemard-Crestani, A. Todri-Sanial
Publicado en: 2017 IEEE 12th Nanotechnology Materials and Devices Conference (NMDC), 2017, Página(s) 66-67, ISBN 978-1-5386-2772-3
Editor: IEEE
DOI: 10.1109/NMDC.2017.8350506

A physics-based investigation of Pt-salt doped carbon nanotubes for local interconnects

Autores: J. Liang, R. Ramos, J. Dijon, H. Okuno, D. Kalita, D. Renaud, J. Lee, V. P. Georgiev, S. Berrada, T. Sadi, A. Asenov, B. Uhlig, K. Lilienthal, A. Dhavamani, F. Konemann, B. Gotsmann, G. Goncalves, B. Chen, K. Teo, R. R. Pandey, A. Todri-Sanial
Publicado en: 2017 IEEE International Electron Devices Meeting (IEDM), 2017, Página(s) 35.5.1-35.5.4, ISBN 978-1-5386-3559-9
Editor: IEEE
DOI: 10.1109/IEDM.2017.8268502

Atoms-to-circuits simulation investigation of CNT interconnects for next generation CMOS technology

Autores: Jaehyun Lee, Jie Liang, Salvatore M. Amoroso, Toufik Sadi, Liping Wang, Flamen Asenov, Andrew Pender, Dave T. Reid, Vihar P. Georgiev, Campbell Millar, Aida Todri-Sanial, Asen Asenov
Publicado en: 2017 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), 2017, Página(s) 153-156, ISBN 978-4-86348-610-2
Editor: IEEE
DOI: 10.23919/SISPAD.2017.8085287

Progress on Carbon Nanotube BEOL Interconnects.

Autores: Uhlig, B., Liang, J., Lee, J., Ramos, R., Dhavamani, A., Nagy, N., Dijon, J., Okuno, H., Kalita, D., Georgiev, V., Asenov, A., Amoroso, S., Wang, L., Millar, C., Konemann, F., Gotsmann, B., Goncalves, G., Chen, B., Pandey, R. R., Chen, R., and Todri-Sanial, A.
Publicado en: 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), Dresden, Germany, 19-23 Mar 2018, 2018
Editor: IEEE

Nanoscale Scanning Probe Thermometry

Autores: Fabian Konemann, Morten Vollmann, Fabian Menges, I-Ju Chen, Norizzawati Mohd Ghazali, Tomohiro Yamaguchi, Koji Ishibashi, Claes Thelander, Bernd Gotsmann
Publicado en: 2018 24rd International Workshop on Thermal Investigations of ICs and Systems (THERMINIC), 2018, Página(s) 1-6, ISBN 978-1-5386-6759-0
Editor: IEEE
DOI: 10.1109/therminic.2018.8593312

Nanoscale Thermometry by Scanning Microscopy

Autores: Fabian Konemann, Morten Vollmann, Fabian Menges, Bernd Gotsmann
Publicado en: THERMINIC 2018 - 24th International Worskhop, 2018
Editor: THERMINIC 2018 - 24th International Worskhop

The impact of vacancy defects on CNT interconnects: From statistical atomistic study to circuit simulations

Autores: Jaehyun Lee, Salim Berrada, Jie Liang, Toufik Sadi, Vihar P. Georgiev, Aida Todri-Sanial, Dipankar Kalita, Raphael Ramos, Hanako Okuno, Jean Dijon, Asen Asenov
Publicado en: 2017 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), 2017, Página(s) 157-160, ISBN 978-4-86348-610-2
Editor: IEEE
DOI: 10.23919/SISPAD.2017.8085288

Atomistic to Circuit Level Modeling of Defective Doped SWCNTs with Contacts for On-Chip Interconnect Application

Autores: J. Liang, J. Lee, S. Berrada, V. Georgiev, A. Asenov, N. Azemard-Crestani, A. Todri-Sanial
Publicado en: 12th IEEE Nanotechnology Materials and Devices Conference (NMDC), 2017
Editor: IEEE

Power and Performance Analysis of Doped SW/DW CNT for On-Chip Interconnect Application

Autores: J. Liang, A. Todri-Sanial
Publicado en: GRAPHENE 2017 International Conference., 2017
Editor: GRAPHENE 2017 International Conference.

Atoms-to-Circuits Simulation Investigation of CNT Interconnects for Next Generation CMOS Technologies.

Autores: J. Lee, J. Liang, S. M. Amoroso, T. Sadi, L. Wang, P. Asenov, A. Pender, D. Reid, V. P. Georgiev, C. Millar, A. Todri-Sanial, and A. Asenov
Publicado en: 22nd International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), 2017
Editor: IEEE

Local thermometry of self-heated nanoscale devices

Autores: F. Menges, F. Motzfeld, H. Schmid, P. Mensch, M. Dittberner, S. Karg, H. Riel, B. Gotsmann
Publicado en: 2016 IEEE International Electron Devices Meeting (IEDM), 2016, Página(s) 15.8.1-15.8.4, ISBN 978-1-5090-3902-9
Editor: IEEE
DOI: 10.1109/IEDM.2016.7838427

A hierarchical model for CNT and Cu-CNT composite interconnects: from density functional theory to circuit-level simulations

Autores: J. Lee, T. Sadi, Jie Liang, V. P. Georgiev, A. Todri-Sanial, and A. Asenov.
Publicado en: 2017 International Workshop on Computational Nanotechnology (IWCN), 2017
Editor: IEEE

Understanding Electromigration in Cu-CNT Composite Interconnects: A Multiscale Electrothermal Simulation Study

Autores: Jaehyun Lee, Salim Berrada, Fikru Adamu-Lema, Nicole Nagy, Vihar P. Georgiev, Toufik Sadi, Jie Liang, Raphael Ramos, Hamilton Carrillo-Nunez, Dipankar Kalita, Katharina Lilienthal, Marcus Wislicenus, Reeturaj Pandey, Bingan Chen, Kenneth B. K. Teo, Goncalo Goncalves, Hanako Okuno, Benjamin Uhlig, Aida Todri-Sanial, Jean Dijon, Asen Asenov
Publicado en: IEEE Transactions on Electron Devices, Edición 65/9, 2018, Página(s) 3884-3892, ISSN 0018-9383
Editor: Institute of Electrical and Electronics Engineers
DOI: 10.1109/TED.2018.2853550

Variability Study of MWCNT Local Interconnects Considering Defects and Contact Resistances--Part II: Impact of Charge Transfer Doping

Autores: Rongmei Chen, Jie Liang, Jaehyun Lee, Vihar P. Georgiev, Raphael Ramos, Hanako Okuno, Dipankar Kalita, Yuanqing Cheng, Liuyang Zhang, Reetu R. Pandey, Salvatore Amoroso, Campbell Millar, Asen Asenov, Jean Dijon, Aida Todri-Sanial
Publicado en: IEEE Transactions on Electron Devices, 2018, Página(s) 1-8, ISSN 0018-9383
Editor: Institute of Electrical and Electronics Engineers
DOI: 10.1109/TED.2018.2868424

Variability Study of MWCNT Local Interconnects Considering Defects and Contact Resistances--Part I: Pristine MWCNT

Autores: Rongmei Chen, Jie Liang, Jaehyun Lee, Vihar P. Georgiev, Raphael Ramos, Hanako Okuno, Dipankar Kalita, Yuanqing Cheng, Liuyang Zhang, Reetu R. Pandey, Salvatore Amoroso, Campbell Millar, Asen Asenov, Jean Dijon, Aida Todri-Sanial
Publicado en: IEEE Transactions on Electron Devices, 2018, Página(s) 1-8, ISSN 0018-9383
Editor: Institute of Electrical and Electronics Engineers
DOI: 10.1109/TED.2018.2868421

Atomistic- to Circuit-Level Modeling of Doped SWCNT for On-Chip Interconnects

Autores: Jie Liang, Jaehyun Lee, Salim Berrada, Vihar P. Georgiev, Reeturaj Pandey, Rongmei Chen, Asen Asenov, Aida Todri-Sanial
Publicado en: IEEE Transactions on Nanotechnology, Edición 17/6, 2018, Página(s) 1084-1088, ISSN 1536-125X
Editor: Institute of Electrical and Electronics Engineers
DOI: 10.1109/TNANO.2018.2802320

A Survey of Carbon Nanotube Interconnects for Energy Efficient Integrated Circuits

Autores: Aida Todri-Sanial, Raphael Ramos, Hanako Okuno, Jean Dijon, Abitha Dhavamani, Marcus Widlicenus, Katharina Lilienthal, Benjamin Uhlig, Toufik Sadi, Vihar Georgiev, Asen Asenov, Salvatore Amoroso, Andrew Pender, Andrew Brown, Campbell Millar, Fabian Motzfeld, Bernd Gotsmann, Jie Liang, Goncalo Goncalves, Nalin Rupesinghe, Ken Teo
Publicado en: IEEE Circuits and Systems Magazine, Edición 17/2, 2017, Página(s) 47-62, ISSN 1531-636X
Editor: Institute of Electrical and Electronics Engineers
DOI: 10.1109/MCAS.2017.2689538

Buscando datos de OpenAIRE...

Se ha producido un error en la búsqueda de datos de OpenAIRE

No hay resultados disponibles