Skip to main content
European Commission logo
français français
CORDIS - Résultats de la recherche de l’UE
CORDIS

Twinning to Strengthen Tallinn University of Technology’s Research and Innovation Capacity in Nanoelectronics Based Dependable Cyber-Physical Systems

Livrables

Project newsletters

1-2 newsletters/year over the duration of the project.

Promotion guide about TUT

Promotion guide about TUT.

Project website
Project leaflet and poster

• Project leaflet (2 pages, A4 size) and Powerpoint presentation providing overview of the project • Project poster (A1 size)

Publications

Designing Reliable Cyber-Physical Systems

Auteurs: Gadi Aleksandrowicz, Eli Arbel, Roderick Bloem, Timon D. ter Braak, Sergei Devadze, Goerschwin Fey, Maksim Jenihhin, Artur Jutman, Hans G. Kerkhoff, Robert Könighofer, Shlomit Koyfman, Jan Malburg, Shiri Moran, Jaan Raik, Gerard Rauwerda, Heinz Riener, Franz Röck, Konstantin Shibin, Kim Sunesen, Jinbo Wan, Yong Zhao
Publié dans: Lecture Notes in Electrical Engineering, 2018, Page(s) 15-38
Éditeur: Springer International Publishing
DOI: 10.1007/978-3-319-62920-9_2

A Study on Immediate Automatic Usability Evaluation of Web Application User Interfaces

Auteurs: Jevgeni Marenkov, Tarmo Robal, Ahto Kalja
Publié dans: Databases and Information Systems, Numéro 615, 2016, Page(s) 257-271, ISBN 978-3-319-40179-9
Éditeur: Springer International Publishing
DOI: 10.1007/978-3-319-40180-5_18

A Tool for Design-Time Usability Evaluation of Web User Interfaces

Auteurs: Jevgeni Marenkov, Tarmo Robal, Ahto Kalja
Publié dans: Advances in Databases and Information Systems, Numéro 10509, 2017, Page(s) 394-407, ISBN 978-3-319-66916-8
Éditeur: Springer International Publishing
DOI: 10.1007/978-3-319-66917-5_26

Can I Have a Mooc2Go, Please? On the Viability of Mobile vs. Stationary Learning

Auteurs: Yue Zhao, Tarmo Robal, Christoph Lofi, Claudia Hauff
Publié dans: Lifelong Technology-Enhanced Learning - 13th European Conference on Technology Enhanced Learning, EC-TEL 2018, Leeds, UK, September 3-5, 2018, Proceedings, Numéro 11082, 2018, Page(s) 101-115, ISBN 978-3-319-98571-8
Éditeur: Springer International Publishing
DOI: 10.1007/978-3-319-98572-5_8

Classification Algorithm Improvement for Physical Activity Recognition in Maritime Environments

Auteurs: Ardo Allik, Kristjan Pilt, Deniss Karai, Ivo Fridolin, Mairo Leier, Gert Jervan
Publié dans: World Congress on Medical Physics and Biomedical Engineering 2018, Numéro 68/3, 2019, Page(s) 13-17, ISBN 978-981-10-9022-6
Éditeur: Springer Singapore
DOI: 10.1007/978-981-10-9023-3_3

EEG Functional Connectivity Detects Seasonal Changes

Auteurs: Laura Päeske, Maie Bachmann, Jaan Raik, Hiie Hinrikus
Publié dans: World Congress on Medical Physics and Biomedical Engineering 2018 - June 3-8, 2018, Prague, Czech Republic (Vol.2), Numéro 68/2, 2019, Page(s) 237-240, ISBN 978-981-10-9037-0
Éditeur: Springer Singapore
DOI: 10.1007/978-981-10-9038-7_44

Energy-Efficient Multi-fragment Markov Model Guided Online Model-Based Testing for MPSoC

Auteurs: Jüri Vain, Leonidas Tsiopoulos, Vyacheslav Kharchenko, Apneet Kaur, Maksim Jenihhin, Jaan Raik, Sven Nõmm
Publié dans: Green IT Engineering: Social, Business and Industrial Applications, Numéro 171, 2019, Page(s) 273-297, ISBN 978-3-030-00252-7
Éditeur: Springer International Publishing
DOI: 10.1007/978-3-030-00253-4_12

Fault Collapsing in Digital Circuits Using Fast Fault Dominance and Equivalence Analysis with SSBDDs

Auteurs: Raimund Ubar, Lembit Jürimägi, Elmet Orasson, Jaan Raik
Publié dans: VLSI-SoC: Design for Reliability, Security, and Low Power, Numéro 483, 2016, Page(s) 23-45, ISBN 978-3-319-46096-3
Éditeur: Springer International Publishing
DOI: 10.1007/978-3-319-46097-0_2

Diagnostic Test Generation for Statistical Bug Localization Using Evolutionary Computation

Auteurs: Marco Gaudesi, Maksim Jenihhin, Jaan Raik, Ernesto Sanchez, Giovanni Squillero, Valentin Tihhomirov, Raimund Ubar
Publié dans: Applications of Evolutionary Computation, 2014, Page(s) 425-436, ISBN 978-3-662-45523-4
Éditeur: Springer Berlin Heidelberg
DOI: 10.1007/978-3-662-45523-4_35

Fast identification of true critical paths in sequential circuits

Auteurs: Raimund Ubar, Sergei Kostin, Maksim Jenihhin, Jaan Raik, Lembit Jürimägi
Publié dans: Microelectronics Reliability, Numéro 81, 2018, Page(s) 252-261, ISSN 0026-2714
Éditeur: Elsevier BV
DOI: 10.1016/j.microrel.2017.11.027

Modeling and simulation of circuits with shared structurally synthesized BDDs

Auteurs: Raimund Ubar, Lembit Jürimägi, Jaan Raik, Vladimir Viies
Publié dans: Microprocessors and Microsystems, Numéro 48, 2017, Page(s) 56-61, ISSN 0141-9331
Éditeur: Elsevier BV
DOI: 10.1016/j.micpro.2016.09.006

Health Management for Self-Aware SoCs Based on IEEE 1687 Infrastructure

Auteurs: Konstantin Shibin, Sergei Devadze, Artur Jutman, Martin Grabmann, Robin Pricken
Publié dans: IEEE Design & Test, Numéro 34/6, 2017, Page(s) 27-35, ISSN 2168-2356
Éditeur: IEEE Computer Society
DOI: 10.1109/MDAT.2017.2750902

Run-time reconfigurable instruments for advanced board-level testing

Auteurs: Igor Aleksejev, Artur Jutman, Sergei Devadze
Publié dans: IEEE Instrumentation & Measurement Magazine, Numéro 20/4, 2017, Page(s) 23-30, ISSN 1094-6969
Éditeur: Institute of Electrical and Electronics Engineers
DOI: 10.1109/MIM.2017.8006390

Computing Sorted Subsets for Data Processing in Communicating Software/Hardware Control Systems

Auteurs: Valery Sklyarov, Iouliia Skliarova, Artjom Rjabov, Alexander Sudnitson
Publié dans: International Journal of Computers Communications & Control, Numéro 11/1, 2015, Page(s) 126, ISSN 1841-9836
Éditeur: Agora University
DOI: 10.15837/ijccc.2016.1.1442

Fast Data Sort based on Searching Networks with Ring Pipeline

Auteurs: Valery Sklyarov, Iouliia Skliarova, Alexander Sudnitson
Publié dans: Elektronika ir Elektrotechnika, Numéro 22/4, 2016, ISSN 1392-1215
Éditeur: Kauno Technologijos Universitetas
DOI: 10.5755/j01.eie.22.4.15920

Fast iterative circuits and RAM-based mergers to accelerate data sort in software/hardware systems

Auteurs: V Sklyarov, I Skliarova, A Rjabov, A Sudnitson
Publié dans: Proceedings of the Estonian Academy of Sciences, Numéro 66/3, 2017, Page(s) 323, ISSN 1736-6046
Éditeur: Estonian Academy Publishers
DOI: 10.3176/proc.2017.3.07

Guest Editorial: Implementation Issues in System-on-Chip

Auteurs: Peeter Ellervee, Jari Nurmi
Publié dans: Journal of Signal Processing Systems, Numéro 87/3, 2017, Page(s) 269-270, ISSN 1939-8018
Éditeur: Springer Verlag
DOI: 10.1007/s11265-017-1242-x

Optimization of Boundary Scan Tests Using FPGA-Based Efficient Scan Architectures

Auteurs: Igor Aleksejev, Sergei Devadze, Artur Jutman, Konstantin Shibin
Publié dans: Journal of Electronic Testing, Numéro 32/3, 2016, Page(s) 245-255, ISSN 0923-8174
Éditeur: Kluwer Academic Publishers
DOI: 10.1007/s10836-016-5588-y

Polymorphic Configuration Architecture for CGRAs

Auteurs: Syed Mohammad Asad Hassan Jafri, Muhammad Adeel Tajammul, Ahmed Hemani, Kolin Paul, Juha Plosila, Peeter Ellervee, Hannu Tenuhnen
Publié dans: IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Numéro 24/1, 2016, Page(s) 403-407, ISSN 1063-8210
Éditeur: Institute of Electrical and Electronics Engineers
DOI: 10.1109/tvlsi.2015.2402392

Surrogate Data Method Requires End-Matched Segmentation of Electroencephalographic Signals to Estimate Non-linearity

Auteurs: Laura Päeske, Maie Bachmann, Toomas Põld, Sara Pereira Mendes de Oliveira, Jaanus Lass, Jaan Raik, Hiie Hinrikus
Publié dans: Frontiers in Physiology, Numéro 9, 2018, ISSN 1664-042X
Éditeur: Frontiers Research Foundation
DOI: 10.3389/fphys.2018.01350

Identification and Rejuvenation of NBTI-Critical Logic Paths in Nanoscale Circuits

Auteurs: Maksim Jenihhin, Giovanni Squillero, Thiago Santos Copetti, Valentin Tihhomirov, Sergei Kostin, Marco Gaudesi, Fabian Vargas, Jaan Raik, Matteo Sonza Reorda, Leticia Bolzani Poehls, Raimund Ubar, Guilherme Cardoso Medeiros
Publié dans: Journal of Electronic Testing, Numéro 32/3, 2016, Page(s) 273-289, ISSN 0923-8174
Éditeur: Kluwer Academic Publishers
DOI: 10.1007/s10836-016-5589-x

Functional self-test of high-performance pipe-lined signal processing architectures

Auteurs: Maksim Gorev, Raimund Ubar, Peeter Ellervee, Sergei Devadze, Jaan Raik, Mart Min
Publié dans: Microprocessors and Microsystems, Numéro 01419331, 2015, Page(s) 909-918, ISSN 0141-9331
Éditeur: Elsevier BV
DOI: 10.1016/j.micpro.2014.11.002

Transition delay fault simulation with parallel critical path back-tracing and 7-valued algebra

Auteurs: Jaak Kõusaar, Raimund Ubar, Sergei Devadze, Jaan Raik
Publié dans: Microprocessors and Microsystems, Numéro 01419331, 2015, Page(s) 1130-1138, ISSN 0141-9331
Éditeur: Elsevier BV
DOI: 10.1016/j.micpro.2015.05.003

Automated Design Error Localization in RTL Designs

Auteurs: Maksim Jenihhin, Anton Tsepurov, Valentin Tihhomirov, Jaan Raik, Hanno Hantson, Raimund Ubar, Gunter Bartsch, JorgeHernan Meza Escobar, Heinz-Dietrich Wuttke
Publié dans: IEEE Design & Test, Numéro 21682356, 2014, Page(s) 83-92, ISSN 2168-2356
Éditeur: IEEE Computer Society
DOI: 10.1109/MDAT.2013.2271420

Automated design error debug using high-level decision diagrams and mutation operators

Auteurs: Jaan Raik, Urmas Repinski, Anton Chepurov, Hanno Hantson, Raimund Ubar, Maksim Jenihhin
Publié dans: Microprocessors and Microsystems, Numéro 01419331, 2013, Page(s) 505-513, ISSN 0141-9331
Éditeur: Elsevier BV
DOI: 10.1016/j.micpro.2012.11.004

Comprehensive performance and robustness analysis of 2D turn models for network-on-chips

Auteurs: Siavoosh Payandeh Azad, Behrad Niazmand, Karl Janson, Thilo Kogge, Jaan Raik, Gert Jervan, Thomas Hollstein
Publié dans: 2017 IEEE International Symposium on Circuits and Systems (ISCAS), 2017, Page(s) 1-4, ISBN 978-1-4673-6853-7
Éditeur: IEEE
DOI: 10.1109/ISCAS.2017.8050634

High-level test generation for processing elements in many-core systems

Auteurs: Adeboye Stephen Oyeniran, Raimund Ubar, Siavoosh Payandeh Azad, Jaan Raik
Publié dans: 2017 12th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), 2017, Page(s) 1-8, ISBN 978-1-5386-3344-1
Éditeur: IEEE
DOI: 10.1109/ReCoSoC.2017.8016156

Fault-resilient NoC router with transparent resource allocation

Auteurs: Tsotne Putkaradze, Siavoosh Payandeh Azad, Behrad Niazmand, Jaan Raik, Gert Jervan
Publié dans: 2017 12th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), 2017, Page(s) 1-8, ISBN 978-1-5386-3344-1
Éditeur: IEEE
DOI: 10.1109/ReCoSoC.2017.8016161

Automated area and coverage optimization of minimal latency checkers

Auteurs: Siavoosh Payandeh Azad, Behrad Niazmand, Apneet Kaur Sandhu, Jaan Raik, Gert Jervan, Thomas Hollstein
Publié dans: 2017 22nd IEEE European Test Symposium (ETS), 2017, Page(s) 1-2, ISBN 978-1-5090-5457-2
Éditeur: IEEE
DOI: 10.1109/ETS.2017.7968211

From online fault detection to fault management in Network-on-Chips: A ground-up approach

Auteurs: Siavoosh Payandeh Azad, Behrad Niazmand, Karl Janson, Nevin George, Adeboye Stephen Oyeniran, Tsotne Putkaradze, Apneet Kaur, Jaan Raik, Gert Jervan, Raimund Ubar, Thomas Hollstein
Publié dans: 2017 IEEE 20th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), 2017, Page(s) 48-53, ISBN 978-1-5386-0472-4
Éditeur: IEEE
DOI: 10.1109/DDECS.2017.7934565

A scalable technique to identify true critical paths in sequential circuits

Auteurs: Raimund Ubar, Sergei Kostin, Maksim Jenihhin, Jaan Raik
Publié dans: 2017 IEEE 20th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), 2017, Page(s) 152-157, ISBN 978-1-5386-0472-4
Éditeur: IEEE
DOI: 10.1109/DDECS.2017.7934568

Marginal PCB assembly defect detection on DDR3/4 memory bus

Auteurs: Sergei Odintsov, Artur Jutman, Sergei Devadze
Publié dans: 2017 IEEE International Test Conference (ITC), 2017, Page(s) 1-10, ISBN 978-1-5386-3413-4
Éditeur: IEEE
DOI: 10.1109/TEST.2017.8242070

Embedded instrumentation toolbox for screening marginal defects and outliers for production

Auteurs: Sergei Odintsov, Artur Jutman, Sergei Devadze, Igor Aleksejev
Publié dans: 2017 IEEE AUTOTESTCON, 2017, Page(s) 1-9, ISBN 978-1-5090-4922-6
Éditeur: IEEE
DOI: 10.1109/AUTEST.2017.8080516

Multi-view modeling for MPSoC design aspects

Auteurs: Juri Vain, Apneet Kaur, Leonidas Tsiopoulos, Jaan Raik, Maksim Jenihhin
Publié dans: 2018 16th Biennial Baltic Electronics Conference (BEC), 2018, Page(s) 1-6, ISBN 978-1-5386-7312-6
Éditeur: IEEE
DOI: 10.1109/bec.2018.8600986

A Hierarchical Approach for Devising Area Efficient Concurrent Online Checkers

Auteurs: Behrad Niazmand, Siavoosh Payandeh Azad, Tara Ghasempouri, Jaan Raik, Gert Jervan
Publié dans: 2018 IEEE International Test Conference in Asia (ITC-Asia), 2018, Page(s) 139-144, ISBN 978-1-5386-5180-3
Éditeur: IEEE
DOI: 10.1109/itc-asia.2018.00034

A novel random approach to diagnostic test generation

Auteurs: Emmanuel Ovie Osimiry, Raimund Ubar, Sergei Kostin, Jaan Raik
Publié dans: 2016 IEEE Nordic Circuits and Systems Conference (NORCAS), 2016, Page(s) 1-4, ISBN 978-1-5090-1095-0
Éditeur: IEEE
DOI: 10.1109/norchip.2016.7792915

A suite of IEEE 1687 benchmark networks

Auteurs: Anton Tsertov, Artur Jutman, Sergei Devadze, Matteo Sonza Reorda, Erik Larsson, Farrokh Ghani Zadegan, Riccardo Cantoro, Mehrdad Montazeri, Rene Krenz-Baath
Publié dans: 2016 IEEE International Test Conference (ITC), 2016, Page(s) 1-10, ISBN 978-1-4673-8773-6
Éditeur: IEEE
DOI: 10.1109/test.2016.7805840

A Synthesis-Agnostic Behavioral Fault Model for High Gate-Level Fault Coverage

Auteurs: Anton Karputkin, Jaan Raik
Publié dans: Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2016, Page(s) 1124-1127, ISBN 978-3-9815370-7-9
Éditeur: Research Publishing Services
DOI: 10.3850/9783981537079_0260

A tool for random test generation targeting high diagnostic resolution

Auteurs: Emmanuel Ovie Osimiry, Sergei Kostin, Jaan Raik, Raimund Ubar
Publié dans: 2016 15th Biennial Baltic Electronics Conference (BEC), 2016, Page(s) 79-82, ISBN 978-1-5090-1393-7
Éditeur: IEEE
DOI: 10.1109/bec.2016.7743733

A tool set for teaching design-for-testability of digital circuits

Auteurs: S. Kostin, E. Orasson, R. Ubar
Publié dans: 2016 11th European Workshop on Microelectronics Education (EWME), 2016, Page(s) 1-6, ISBN 978-1-4673-8584-8
Éditeur: IEEE
DOI: 10.1109/ewme.2016.7496466

Activity classification for real-time wearable systems: Effect of window length, sampling frequency and number of features on classifier performance

Auteurs: Ardo Allik, Kristjan Pilt, Deniss Karai, Ivo Fridolin, Mairo Leier, Gert Jervan
Publié dans: 2016 IEEE EMBS Conference on Biomedical Engineering and Sciences (IECBES), 2016, Page(s) 460-464, ISBN 978-1-4673-7791-1
Éditeur: IEEE
DOI: 10.1109/iecbes.2016.7843493

Administration of the State Information System of the Estonian eGovernment

Auteurs: Ahto Kalja, Tarmo Robal, Triin Gailan
Publié dans: 2017 Portland International Conference on Management of Engineering and Technology (PICMET), 2017, Page(s) 1-7, ISBN 978-1-890843-36-6
Éditeur: IEEE
DOI: 10.23919/picmet.2017.8125312

An Automatic Approach to Evaluate Assertions' Quality Based on Data-Mining Metrics

Auteurs: Tara Ghasempouri, Siavoosh Payandeh Azad, Behrad Niazmand, Jaan Raik
Publié dans: 2018 IEEE International Test Conference in Asia (ITC-Asia), 2018, Page(s) 61-66, ISBN 978-1-5386-5180-3
Éditeur: IEEE
DOI: 10.1109/itc-asia.2018.00021

AWAIT: An Ultra-Lightweight Soft-Error Mitigation Mechanism for Network-on-Chip Links

Auteurs: Karl Janson, Rene Pihlak, Siavoosh Payandeh Azad, Behrad Niazmand, Gert Jervan, Jaan Raik
Publié dans: 2018 13th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), 2018, Page(s) 1-6, ISBN 978-1-5386-7957-9
Éditeur: IEEE
DOI: 10.1109/recosoc.2018.8449374

Augmented Coaching Ecosystem for Non-obtrusive Adaptive Personalized Elderly Care on the basis of Cloud-Fog-Dew computing paradigm

Auteurs: Yu. Gordienko, S. Stirenko, O. Alienin, K. Skala, Z. Sojat, A. Rojbi, J.R. Lopez Benito, E. Artetxe Gonzalez, U. Lushchyk, L. Sajn, A. Llorente Coto, G. Jervan
Publié dans: 2017 40th International Convention on Information and Communication Technology, Electronics and Microelectronics (MIPRO), 2017, Page(s) 359-364, ISBN 978-953-233-090-8
Éditeur: IEEE
DOI: 10.23919/mipro.2017.7973449

Combined pseudo-exhaustive and deterministic testing of array multipliers

Auteurs: Adeboye Stephen Oyeniran, Siavoosh Payandeh Azad, Raimund Ubar
Publié dans: 2018 IEEE International Conference on Automation, Quality and Testing, Robotics (AQTR), 2018, Page(s) 1-6, ISBN 978-1-5386-2205-6
Éditeur: IEEE
DOI: 10.1109/aqtr.2018.8402708

Data type dependent energy consumption estimation

Auteurs: Priit Ruberg, Keijo Lass, Peeter Ellervee
Publié dans: 2016 IEEE Nordic Circuits and Systems Conference (NORCAS), 2016, Page(s) 1-5, ISBN 978-1-5090-1095-0
Éditeur: IEEE
DOI: 10.1109/norchip.2016.7792916

Developing a data acquisition system for measuring microcontroller energy consumption using LabVIEW

Auteurs: Priit Ruberg, Keijo Lass, Peeter Ellervee
Publié dans: 2016 15th Biennial Baltic Electronics Conference (BEC), 2016, Page(s) 123-126, ISBN 978-1-5090-1393-7
Éditeur: IEEE
DOI: 10.1109/bec.2016.7743744

Embedded software performance estimations at different compiler optimisation levels

Auteurs: Priit Ruberg, Keijo Lass, Elvar Liiv, Peeter Ellervee
Publié dans: 2017 5th IEEE Workshop on Advances in Information, Electronic and Electrical Engineering (AIEEE), 2017, Page(s) 1-6, ISBN 978-1-5386-4137-8
Éditeur: IEEE
DOI: 10.1109/aieee.2017.8270530

Parallel Critical Path Tracing Fault Simulation in Sequential Circuits

Auteurs: Jaak Kousaar, Raimund Ubar, Sergei Kostin, Sergei Devadze, Jaan Raik
Publié dans: "2018 25th International Conference ""Mixed Design of Integrated Circuits and System"" (MIXDES)", 2018, Page(s) 305-310, ISBN 978-83-63578-14-5
Éditeur: IEEE
DOI: 10.23919/mixdes.2018.8436880

Fair and Individualized Project Teamwork Evaluation for an Engineering Course

Auteurs: Tarmo Robal
Publié dans: 2018 28th EAEEIE Annual Conference (EAEEIE), 2018, Page(s) 1-9, ISBN 978-1-5386-7711-7
Éditeur: IEEE
DOI: 10.1109/eaeeie.2018.8534256

Fall detection and activity recognition system for usage in smart work-wear

Auteurs: Mairo Leier, Gert Jervan, Ardo Allik, Kristjan Pilt, Deniss Karai, Ivo Fridolin
Publié dans: 2018 16th Biennial Baltic Electronics Conference (BEC), 2018, Page(s) 1-4, ISBN 978-1-5386-7312-6
Éditeur: IEEE
DOI: 10.1109/bec.2018.8600959

Conditional Fault Collapsing in Digital Circuits with Shared Structurally Synthesized BDDs

Auteurs: Lembit Jurimagi, Raimund Ubar
Publié dans: 2018 16th Biennial Baltic Electronics Conference (BEC), 2018, Page(s) 1-4, ISBN 978-1-5386-7312-6
Éditeur: IEEE
DOI: 10.1109/bec.2018.8600967

Gate-level modelling of NBTI-induced delays under process variations

Auteurs: Thiago Copetti, Guilherme Medeiros, Leticia Bolzani Poehls, Fabian Vargas, Sergei Kostin, Maksim Jenihhin, Jaan Raik, Raimund Ubar
Publié dans: 2016 17th Latin-American Test Symposium (LATS), 2016, Page(s) 75-80, ISBN 978-1-5090-1331-9
Éditeur: IEEE
DOI: 10.1109/latw.2016.7483343

Guideliner - a Tool to Improve Web UI Development for Better Usability

Auteurs: Jevgeni Marenkov, Tarmo Robal, Ahto Kalja
Publié dans: Proceedings of the 8th International Conference on Web Intelligence, Mining and Semantics - WIMS '18, 2018, Page(s) 1-9, ISBN 9781-450354899
Éditeur: ACM Press
DOI: 10.1145/3227609.3227667

Handling of SETs on NoC Links by Exploitation of Inherent Redundancy in Circular Input Buffers

Auteurs: Karl Janson, Rene Pihlak, Siavoosh Payandeh Azad, Behrad Niazmand, Gert Jervan, Jaan Raik
Publié dans: 2018 16th Biennial Baltic Electronics Conference (BEC), 2018, Page(s) 1-4, ISBN 978-1-5386-7312-6
Éditeur: IEEE
DOI: 10.1109/bec.2018.8600989

Hardware implementation of face recognition using low precision representation

Auteurs: Sai Kumar Dwivedi, Siavoosh Payandeh Azad, Peeter Ellervee, Ratnakar Dash
Publié dans: 2016 15th Biennial Baltic Electronics Conference (BEC), 2016, Page(s) 63-66, ISBN 978-1-5090-1393-7
Éditeur: IEEE
DOI: 10.1109/bec.2016.7743729

Hardware-based systems for partial sorting of streaming data

Auteurs: Artjom Rjabov
Publié dans: 2016 15th Biennial Baltic Electronics Conference (BEC), 2016, Page(s) 59-62, ISBN 978-1-5090-1393-7
Éditeur: IEEE
DOI: 10.1109/bec.2016.7743728

Hierarchical temporal memory implementation on FPGA using LFSR based spatial pooler address space generator

Auteurs: Madis Kerner, Kalle Tammemae
Publié dans: 2017 IEEE 20th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), 2017, Page(s) 92-95, ISBN 978-1-5386-0472-4
Éditeur: IEEE
DOI: 10.1109/ddecs.2017.7934577

Hierarchical Timing-Critical Paths Analysis in Sequential Circuits

Auteurs: Lembit Jurimagi, Raimund Ubar, Maksim Jenihhin, Jaan Raik, Sergei Devadze, Sergei Kostin
Publié dans: 2018 28th International Symposium on Power and Timing Modeling, Optimization and Simulation (PATMOS), 2018, Page(s) 1-6, ISBN 978-1-5386-6365-3
Éditeur: IEEE
DOI: 10.1109/patmos.2018.8464176

High-level modeling and testing of multiple control faults in digital systems

Auteurs: Artjom Jasnetski, Stephen Adeboye Oyeniran, Anton Tsertov, Mario Scholzel, Raimund Ubar
Publié dans: 2016 IEEE 19th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), 2016, Page(s) 1-6, ISBN 978-1-5090-2467-4
Éditeur: IEEE
DOI: 10.1109/ddecs.2016.7482445

High-level test data generation for software-based self-test in microprocessors

Auteurs: Adeboye Stephen Oyeniran, Artjom Jasnetski, Anton Tsertov, Raimund Ubar
Publié dans: 2017 6th Mediterranean Conference on Embedded Computing (MECO), 2017, Page(s) 1-6, ISBN 978-1-5090-6742-8
Éditeur: IEEE
DOI: 10.1109/meco.2017.7977167

IEEE 1687 Compliant Ecosystem for Embedded Instrumentation Access and In-Field Health Monitoring

Auteurs: Anton Tsertov, Artur Jutman, Konstantin Shibin, Sergei Devadze
Publié dans: 2018 IEEE AUTOTESTCON, 2018, Page(s) 1-9, ISBN 978-1-5386-5223-7
Éditeur: IEEE
DOI: 10.1109/autest.2018.8532559

In-Field Detection of Degradation on PCB Assembly High-Speed Buses

Auteurs: Sergei Odintsov
Publié dans: 2018 IEEE AUTOTESTCON, 2018, Page(s) 1-6, ISBN 978-1-5386-5223-7
Éditeur: IEEE
DOI: 10.1109/autest.2018.8532547

Understanding MPSoCs - exploiting memory microarchitectural vulnerabilities of high performance NoC-based MPSoCs

Auteurs: Johanna Sepulveda, Cezar Reinbrecht, Siavoosh Payandeh Azad, Behrad Niazmand, Gert Jervan
Publié dans: Proceedings of the 18th International Conference on Embedded Computer Systems Architectures, Modeling, and Simulation - SAMOS '18, 2018, Page(s) 162-166, ISBN 9781-450364942
Éditeur: ACM Press
DOI: 10.1145/3229631.3239367

IntelliEye - Enhancing MOOC Learners' Video Watching Experience through Real-Time Attention Tracking

Auteurs: Tarmo Robal, Yue Zhao, Christoph Lofi, Claudia Hauff
Publié dans: Proceedings of the 29th on Hypertext and Social Media - HT '18, 2018, Page(s) 106-114, ISBN 9781-450354271
Éditeur: ACM Press
DOI: 10.1145/3209542.3209547

Interactions of Zynq-7000 devices with general purpose computers through PCI-express: A case study

Auteurs: Artjom Rjabov, Alexander Sudnitson, Valery Sklyarov, Iouliia Skliarova
Publié dans: 2016 18th Mediterranean Electrotechnical Conference (MELECON), 2016, Page(s) 1-4, ISBN 978-1-5090-0058-6
Éditeur: IEEE
DOI: 10.1109/melcon.2016.7495400

Logic-based implementation of fault-tolerant routing in 3D network-on-chips

Auteurs: Behrad Niazmand, Siavoosh Payandeh Azad, Jose Flich, Jaan Raik, Gert Jervan, Thomas Hollstein
Publié dans: 2016 Tenth IEEE/ACM International Symposium on Networks-on-Chip (NOCS), 2016, Page(s) 1-8, ISBN 978-1-4673-9030-9
Éditeur: IEEE
DOI: 10.1109/nocs.2016.7579317

Minimization of the High-Level Fault Model for Microprocessor Control Parts

Auteurs: Raimund Ubar, Adeboye Stephen Oyeniran, Olusiji Medaiyese
Publié dans: 2018 16th Biennial Baltic Electronics Conference (BEC), 2018, Page(s) 1-4, ISBN 978-1-5386-7312-6
Éditeur: IEEE
DOI: 10.1109/bec.2018.8600980

Mining and modelling web user engagement: A survey on academic sites for framework establishment

Auteurs: Tarmo Robal, Ahto Kalja
Publié dans: 2016 Portland International Conference on Management of Engineering and Technology (PICMET), 2016, Page(s) 1942-1952
Éditeur: IEEE
DOI: 10.1109/picmet.2016.7806759

Multiple control fault testing in digital systems with high-level decision diagrams

Auteurs: Raimund Ubar, Stephen Adeboye Oyeniran
Publié dans: 2016 IEEE International Conference on Automation, Quality and Testing, Robotics (AQTR), 2016, Page(s) 1-6, ISBN 978-1-4673-8692-0
Éditeur: IEEE
DOI: 10.1109/aqtr.2016.7501287

On automatic software-based self-test program generation based on high-level decision diagrams

Auteurs: Artjom Jasnetski, Raimund Ubar, Anton Tsertov
Publié dans: 2016 17th Latin-American Test Symposium (LATS), 2016, Page(s) 177-177, ISBN 978-1-5090-1331-9
Éditeur: IEEE
DOI: 10.1109/latw.2016.7483357

On coverage of timing related faults at board level

Auteurs: Artur Jutman, Igor Aleksejev, Sergei Devadze
Publié dans: 2016 21th IEEE European Test Symposium (ETS), 2016, Page(s) 1-2, ISBN 978-1-4673-9659-2
Éditeur: IEEE
DOI: 10.1109/ets.2016.7519295

On-line fault classification and handling in IEEE1687 based fault management system for complex SoCs

Auteurs: Konstantin Shibin, Sergei Devadze, Artur Jutman
Publié dans: 2016 17th Latin-American Test Symposium (LATS), 2016, Page(s) 69-74, ISBN 978-1-5090-1331-9
Éditeur: IEEE
DOI: 10.1109/latw.2016.7483342

Ontology Design for Automatic Evaluation of Web User Interface Usability

Auteurs: Tarmo Robal, Jevgeni Marenkov, Ahto Kalja
Publié dans: 2017 Portland International Conference on Management of Engineering and Technology (PICMET), 2017, Page(s) 1-8, ISBN 978-1-890843-36-6
Éditeur: IEEE
DOI: 10.23919/picmet.2017.8125425

Parallel Pseudo-Exhaustive Testing of Array Multipliers with Data-Controlled Segmentation

Auteurs: Adeboye Stephen Oyeniran, Siavoosh Payandeh Azad, Raimund Ubar
Publié dans: 2018 IEEE International Symposium on Circuits and Systems (ISCAS), 2018, Page(s) 1-5, ISBN 978-1-5386-4881-0
Éditeur: IEEE
DOI: 10.1109/iscas.2018.8350936

Performance estimation of embedded applications on microcontrollers

Auteurs: Priit Ruberg, Keijo Lass, Elvar Liiv, Peeter Ellervee
Publié dans: 2017 IEEE Nordic Circuits and Systems Conference (NORCAS): NORCHIP and International Symposium of System-on-Chip (SoC), 2017, Page(s) 1-6, ISBN 978-1-5386-2844-7
Éditeur: IEEE
DOI: 10.1109/norchip.2017.8124964

Practicing start-up culture in teaching embedded systems

Auteurs: Uljana Reinsalu, Siavoosh Payandeh Azad, Mairo Leier, Kalle Tammemae, Thomas Hollstein
Publié dans: 2016 11th European Workshop on Microelectronics Education (EWME), 2016, Page(s) 1-6, ISBN 978-1-4673-8584-8
Éditeur: IEEE
DOI: 10.1109/ewme.2016.7496463

QoSinNoC: Analysis of QoS-Aware NoC Architectures for Mixed-Criticality Applications

Auteurs: Serhiy Avramenko, Siavoosh Payandeh Azad, Stefano Esposito, Behrad Niazmand, Massimo Violante, Jaan Raik, Maksim Jenihhin
Publié dans: 2018 IEEE 21st International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), 2018, Page(s) 67-72, ISBN 978-1-5386-5754-6
Éditeur: IEEE
DOI: 10.1109/ddecs.2018.00-10

RAM-based mergers for data sort and frequent item computation

Auteurs: Artjom Rjabov, Valery Sklyarov, Iouliia Skliarova, Alexander Sudnitson
Publié dans: 2017 40th International Convention on Information and Communication Technology, Electronics and Microelectronics (MIPRO), 2017, Page(s) 176-181, ISBN 978-953-233-090-8
Éditeur: IEEE
DOI: 10.23919/mipro.2017.7973413

Reconfigurable systems in engineering education: Best practices and future trends

Auteurs: Iouliia Skliarova, Valery Sklyarov, Alexander Sudnitson, Margus Kruus
Publié dans: 2017 IEEE Global Engineering Education Conference (EDUCON), 2017, Page(s) 1084-1088, ISBN 978-1-5090-5467-1
Éditeur: IEEE
DOI: 10.1109/educon.2017.7942983

Reliable health monitoring and fault management infrastructure based on embedded instrumentation and IEEE 1687

Auteurs: Artur Jutman, Konstantin Shibin, Sergei Devadze
Publié dans: 2016 IEEE AUTOTESTCON, 2016, Page(s) 1-10, ISBN 978-1-5090-0790-5
Éditeur: IEEE
DOI: 10.1109/autest.2016.7589605

Replication-Based Deterministic Testing of 2-Dimensional Arrays with Highly Interrelated Cells

Auteurs: Siavoosh Payandeh Azad, Adeboye Stephen Oyeniran, Raimund Ubar
Publié dans: 2018 IEEE 21st International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), 2018, Page(s) 21-26, ISBN 978-1-5386-5754-6
Éditeur: IEEE
DOI: 10.1109/ddecs.2018.00011

Scalable algorithm for structural fault collapsing in digital circuits

Auteurs: Raimund Ubar, Lembit Jurimagi, Elmet Orasson, Jaan Raik
Publié dans: 2015 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), 2015, Page(s) 171-176, ISBN 978-1-4673-9140-5
Éditeur: IEEE
DOI: 10.1109/vlsi-soc.2015.7314411

Self-driving car ISEAUTO for research and education

Auteurs: Raivo Sell, Mairo Leier, Anton Rassolkin, Juhan-Peep Ernits
Publié dans: 2018 19th International Conference on Research and Education in Mechatronics (REM), 2018, Page(s) 111-116, ISBN 978-1-5386-5413-2
Éditeur: IEEE
DOI: 10.1109/rem.2018.8421793

SoCDep 2 : A framework for dependable task deployment on many-core systems under mixed-criticality constraints

Auteurs: Siavoosh Payandeh Azad, Behrad Niazmand, Peeter Ellervee, Jaan Raik, Gert Jervan, Thomas Hollstein
Publié dans: 2016 11th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), 2016, Page(s) 1-6, ISBN 978-1-5090-2520-6
Éditeur: IEEE
DOI: 10.1109/recosoc.2016.7533903

Software-Level TMR Approach for On-Board Data Processing in Space Applications

Auteurs: Karl Janson, Carl Johann Treudler, Thomas Hollstein, Jaan Raik, Maksim Jenihhin, Goerschwin Fey
Publié dans: 2018 IEEE 21st International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), 2018, Page(s) 147-152, ISBN 978-1-5386-5754-6
Éditeur: IEEE
DOI: 10.1109/ddecs.2018.00033

Standards-based tools and services for building lifelong learning pathways

Auteurs: C. Sgouropoulou, I. Voyiatzis, A. Koutoumanos, S. Hamdioui, P. Pouyan, M. Comte, P. Prinetto, G. Airo Farulla, P. Ellervee, C. Delgado Kloos, R. Crespo Garcia
Publié dans: 2017 IEEE Global Engineering Education Conference (EDUCON), 2017, Page(s) 1619-1621, ISBN 978-1-5090-5467-1
Éditeur: IEEE
DOI: 10.1109/educon.2017.7943065

Stationary vs. Non-stationary Mobile Learning in MOOCs

Auteurs: Yue Zhao, Tarmo Robal, Christoph Lofi, Claudia Hauff
Publié dans: Adjunct Publication of the 26th Conference on User Modeling, Adaptation and Personalization - UMAP '18, 2018, Page(s) 299-303, ISBN 9781-450357845
Éditeur: ACM Press
DOI: 10.1145/3213586.3225241

Timing-critical path analysis with structurally synthesized BDDs

Auteurs: Raimund Ubar, Lembit Jurimagi, Maksim Jenihhin, Jaan Raik, Niyi-Leigh Olugbenga, Vladimir Viies
Publié dans: 2018 7th Mediterranean Conference on Embedded Computing (MECO), 2018, Page(s) 1-6, ISBN 978-1-5386-5683-9
Éditeur: IEEE
DOI: 10.1109/meco.2018.8406051

TransMem: A memory architecture to support dynamic remapping and parallelism in low power high performance CGRAs

Auteurs: Muhammad Adeel Tajammul, Syed M. A. H. Jafri, Ahmed Hemani, Peter Ellervee
Publié dans: 2016 26th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), 2016, Page(s) 92-99, ISBN 978-1-5090-0733-2
Éditeur: IEEE
DOI: 10.1109/patmos.2016.7833431

Webcam-based Attention Tracking in Online Learning - A Feasibility Study

Auteurs: Tarmo Robal, Yue Zhao, Christoph Lofi, Claudia Hauff
Publié dans: Proceedings of the 2018 Conference on Human Information Interaction&Retrieval - IUI '18, 2018, Page(s) 189-197, ISBN 9781-450349451
Éditeur: ACM Press
DOI: 10.1145/3172944.3172987

Universal mitigation of NBTI-induced aging by design randomization

Auteurs: Maksim Jenihhin, Alexander Kamkin, Zainalabedin Navabi, Somayeh Sadeghi-Kohan
Publié dans: 2016 IEEE East-West Design & Test Symposium (EWDTS), 2016, Page(s) 1-5, ISBN 978-1-5090-0693-9
Éditeur: IEEE
DOI: 10.1109/ewdts.2016.7807635

A framework for improving web application user interfaces through immediate evaluation

Auteurs: Marenkov, Jevgeni; Robal, Tarmo; Kalja, Ahto
Publié dans: 2016
Éditeur: IOS Press
DOI: 10.3233/978-1-61499-714-6-283

Automated software-based self-test generation for microprocessors

Auteurs: Artjom Jasnetski, Raimund Ubar, Anton Tsertov
Publié dans: "2017 MIXDES - 24th International Conference ""Mixed Design of Integrated Circuits and Systems", 2017, Page(s) 453-458, ISBN 978-83-63578-12-1
Éditeur: IEEE
DOI: 10.23919/mixdes.2017.8005252

Comparison of Predictive Equations for Basal Metabolic Rate

Auteurs: Allik, A.; Mägi, S.; Pilt, K.; Karai, D.; Fridolin I.; Leier, M.; Jervan, G.
Publié dans: 2017
Éditeur: Springer

Refactoring - key to success for constantly developed projects

Auteurs: Põld, Janari; Kalja, Ahto; Robal, Tarmo
Publié dans: 2017
Éditeur: IOS Press
DOI: 10.3233/978-1-61499-720-7-13

BASTION: Board and SoC test instrumentation for ageing and no failure found

Auteurs: Artur Jutman, Christophe Lotz, Erik Larsson, Matteo Sonza Reorda, Maksim Jenihhin, Jaan Raik, Hans Kerkhoff, Rene Krenz-Baath, Piet Engelke
Publié dans: Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017, 2017, Page(s) 115-120, ISBN 978-3-9815370-8-6
Éditeur: IEEE
DOI: 10.23919/DATE.2017.7926968

Rejuvenation of NBTI-Impacted Processors Using Evolutionary Generation of Assembler Programs

Auteurs: Francesco Pellerey, Maksim Jenihhin, Giovanni Squillero, Jaan Raik, Matteo Sonza Reorda, Valentin Tihhomirov, Raimund Ubar
Publié dans: 2016 IEEE 25th Asian Test Symposium (ATS), 2016, Page(s) 304-309, ISBN 978-1-5090-3809-1
Éditeur: IEEE
DOI: 10.1109/ATS.2016.57

Designing reliable cyber-physical systems overview associated to the special session at FDL'16

Auteurs: Gadi Aleksandrowicz, Eli Arbel, Roderick Bloem, Timon Ter Braak, Sergei Devadze, Goerschwin Fey, Maksim Jenihhin, Artur Jutman, Hans G. Kerkhoff, Robert Konighofer, Jan Malburg, Shiri Moran, Jaan Raik, Gerard Rauwerda, Heinz Riener, Franz Rock, Konstantin Shibin, Kim Sunesen, Jinbo Wan, Yong Zhao
Publié dans: 2016 Forum on Specification and Design Languages (FDL), 2016, Page(s) 1-8, ISBN 979-10-92279-17-7
Éditeur: IEEE
DOI: 10.1109/FDL.2016.7880382

A comprehensive methodology for stress procedures evaluation and comparison for Burn-In of automotive SoC

Auteurs: D. Appello, P. Bernardi, G. Giacopelli, A. Motta, A. Pagani, G. Pollaccia, C. Rabbi, M. Restifo, P. Ruberg, E. Sanchez, C.M. Villa, F. Venini
Publié dans: Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017, 2017, Page(s) 646-649, ISBN 978-3-9815370-8-6
Éditeur: IEEE
DOI: 10.23919/DATE.2017.7927068

Automated minimization of concurrent online checkers for Network-on-Chips

Auteurs: Pietro Saltarelli, Behrad Niazmand, Ranganathan Hariharan, Jaan Raik, Gert Jervan, Thomas Hollstein
Publié dans: 2015 10th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), 2015, Page(s) 1-8, ISBN 978-1-4673-7942-7
Éditeur: IEEE
DOI: 10.1109/ReCoSoC.2015.7238079

A Framework for Comprehensive Automated Evaluation of Concurrent Online Checkers

Auteurs: Pietro Saltarelli, Behrad Niazmand, Jaan Raik, Ranganathan Hariharan, Gert Jervan, Thomas Hollstein
Publié dans: 2015 Euromicro Conference on Digital System Design, 2015, Page(s) 288-292, ISBN 978-1-4673-8035-5
Éditeur: IEEE
DOI: 10.1109/DSD.2015.15

FSMD RTL Design Manipulation for Clock Interface Abstraction

Auteurs: Syed, Saif Abrar; Jenihhin, Maksim; Raik, Jaan.
Publié dans: International Conference on Advances in Computing, Communications and Informatics (ICACCI), Kochi, India, August 10-13, 2015, 2015, Page(s) 1-6
Éditeur: IEEE

A Framework for Combining Concurrent Checking and On-Line Embedded Test for Low-Latency Fault Detection in NoC Routers

Auteurs: Pietro Saltarelli, Behrad Niazmand, Jaan Raik, Vineeth Govind, Thomas Hollstein, Gert Jervan, Ranganathan Hariharan
Publié dans: Proceedings of the 9th International Symposium on Networks-on-Chip - NOCS '15, 2015, Page(s) 1-8, ISBN 9781450333962
Éditeur: ACM Press
DOI: 10.1145/2786572.2788713

Rejuvenation of Nanoscale Logic at NBTI-Critical Paths Using Evolutionary TPG

Auteurs: Palermo, N.; Tihhomirov, V.; Copetti, T.S.; Jenihhin, M.; Raik, J.; Kostin, S.; Gaudesi, M.; Squillero, G.; Sonza Reorda, M.; Vargas, F.; Bolzani Poehls, L.
Publié dans: 16th IEEE Latin-American Test Symposium March 25 - 27, 2015, Puerto Vallarta, Mexico, 2015, Page(s) 1-6
Éditeur: IEEE Computer Society Press

Scalable Algorithm for Structural Fault Collapsing in Digital Circuits

Auteurs: Ubar, Raimund; Jürimägi, Lembit; Orasson, Elmet; Raik, Jaan
Publié dans: IFIP/IEEE International Conference on Very Large Scale Integration - VLSI-SoC'2015, 2015, Page(s) 1-6
Éditeur: IEEE Computer Society Press

New Fault Models and Self-Test Generation for Microprocessors using High-Level Decision Diagrams

Auteurs: Jasnetski, Artjom; Raik, Jaan; Tsertov, Anton; Ubar, Raimund
Publié dans: IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems - DDECS, 2015, Page(s) 1-6
Éditeur: IEEE Computer Society Press

SPICE-Inspired Fast Gate-Level Computation of NBTI-induced Delays in Nanoscale Logic

Auteurs: Kostin, Sergei; Raik, Jaan; Ubar, Raimund; Jenihhin, Maksim; Copetti, Thiago; Vargas, Fabian; Bolzani Poehls, Leticia
Publié dans: IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems 2015, Belgrade, Serbia, 2015, Page(s) 1-6
Éditeur: IEEE Computer Society Press

SystemC-Based Loose Models for Simulation Speed-Up by Abstraction of RTL IP Cores

Auteurs: Syed, Saif Abrar; Jenihhin, Maksim; Raik, Jaan
Publié dans: IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems 2015, Belgrade, Serbia, 2015, Page(s) 1-4
Éditeur: IEEE Computer Society Press

Advanced Technical Education in the Age of Cyber Physical Systems

Auteurs: Vierhaus, Heinrich; Raik, Jaan; Ubar, Raimund
Publié dans: Proceedings of the 10th European Workshop on Microelectronics Education – EWME, 2014, Page(s) 1-4
Éditeur: IEEE Computer Society

Abstraction of clock interface for conversion of RTL VHDL to SystemC

Auteurs: Syed Saif Abrar, Maksim Jenihhin, Jaan Raik
Publié dans: 2014 IEEE International Advance Computing Conference (IACC), 2014, Page(s) 50-55, ISBN 978-1-4799-2572-8
Éditeur: IEEE
DOI: 10.1109/IAdCC.2014.6779293

Critical Path Tracing based Simulation of Transition Delay Faults

Auteurs: Kõusaar, J.; Ubar, R.; Devadze, S.; Raik, J.
Publié dans: 17th Euromicro Conference on Digital System Design, Verona, Italy, August 27-29, 2014, 2014
Éditeur: IEEE Computer Society

Diagnostic Test Generation for Statistical Bug Localization using Evolutionary Computation

Auteurs: Gaudesi, Marco; Jenihhin, Maksim; Raik, Jaan; Sanchez, Ernesto; Squillero, Giovanni; Tihomirov, Valentin; Ubar, Raimund
Publié dans: Genetic and Evolutionary Computation Conference, Vancouver, BC, Canada, July 12-16, 2014, 2014, Page(s) 1-6
Éditeur: IEEE Computer Society Press

Assessment of diagnostic test for automated bug localization

Auteurs: Valentin Tihhomirov, Anton Tsepurov, Maksim Jenihhin, Jaan Raik, Raimund Ubar
Publié dans: 2013 14th Latin American Test Workshop - LATW, 2013, Page(s) 1-6, ISBN 978-1-4799-0597-3
Éditeur: IEEE
DOI: 10.1109/LATW.2013.6562665

Extended Checkers for Logic-Based Distributed Routing in Network-on-Chips

Auteurs: Niazmand, Behrad; Hariharan, Ranganathan; Govind, Vineeth; Jervan, Gert; Hollstein, Thomas; Raik, Jaan
Publié dans: Baltic Electronic Conference, Laulasmaa, Estonia, 2014, Page(s) 1-4
Éditeur: IEEE

Extensible Open-Source Framework for Translating RTL VHDL IP Cores to SystemC

Auteurs: Syed, Saif Abrar; Jenihhin, Maksim; Raik, Jaan
Publié dans: 15th IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS), Karlovy Vary, Czech Republic, April 8-10, 2013, 2013, Page(s) 112-115
Éditeur: IEEE

At-speed self-testing of high-performance pipe-lined processing architectures

Auteurs: Maksim Gorev, Raimund Ubar, Peeter Ellervee, Sergei Devadze, Jaan Raik, Mart Min
Publié dans: 2013 NORCHIP, 2013, Page(s) 1-6, ISBN 978-1-4799-1647-4
Éditeur: IEEE
DOI: 10.1109/NORCHIP.2013.6702000

Performance Analysis of Cosimulating Processor Core in VHDL and SystemC

Auteurs: Syed, Saif Abrar; Shyam, Kiran A.; Jenihhin, Maksim; Raik, Jaan; Babu, C.
Publié dans: Proc. of 2nd IEEE International Conference on Advances in Computing, Communications & Informatics, 2013, Page(s) 1-6
Éditeur: IEEE

Identifying NBTI-Critical Paths in Nanoscale Logic

Auteurs: Ubar, Raimund; Vargas, Fabian; Jenihhin, Maksim; Raik, Jaan; Kostin, Serge; Bolzani Poehls, Letícia
Publié dans: Proceedings of the 16th Euromicro Conference on Digital System Design, 2013, Page(s) 136–141
Éditeur: IEEE Computer Society Press

Synthesis of Multiple Fault Oriented Test Groups from Single Fault Test Sets

Auteurs: Ubar, Raimund; Kostin, Sergei; Raik, Jaan
Publié dans: 8th Int. Conference on Design & Technology of Integrated Systems in Nanoscale Era - DTIS’13, 2013, Page(s) 1-6
Éditeur: IEEE Computer Society

Design-Time Web Usability Evaluation with Guideliner

Auteurs: Jevgeni Marenkov, Tarmo Robal, Ahto Kalja
Publié dans: Complex Systems Informatics and Modeling Quarterly, Numéro 15, 2018, Page(s) 90-109, ISSN 2255-9922
Éditeur: 0302-9743
DOI: 10.7250/csimq.2018-15.05

Recherche de données OpenAIRE...

Une erreur s’est produite lors de la recherche de données OpenAIRE

Aucun résultat disponible