Skip to main content
CORDIS - Forschungsergebnisse der EU
CORDIS

Twinning to Strengthen Tallinn University of Technology’s Research and Innovation Capacity in Nanoelectronics Based Dependable Cyber-Physical Systems

Leistungen

Project newsletters

1-2 newsletters/year over the duration of the project.

Promotion guide about TUT

Promotion guide about TUT.

Project website
Project leaflet and poster

• Project leaflet (2 pages, A4 size) and Powerpoint presentation providing overview of the project • Project poster (A1 size)

Veröffentlichungen

Designing Reliable Cyber-Physical Systems

Autoren: Gadi Aleksandrowicz, Eli Arbel, Roderick Bloem, Timon D. ter Braak, Sergei Devadze, Goerschwin Fey, Maksim Jenihhin, Artur Jutman, Hans G. Kerkhoff, Robert Könighofer, Shlomit Koyfman, Jan Malburg, Shiri Moran, Jaan Raik, Gerard Rauwerda, Heinz Riener, Franz Röck, Konstantin Shibin, Kim Sunesen, Jinbo Wan, Yong Zhao
Veröffentlicht in: Lecture Notes in Electrical Engineering, 2018, Seite(n) 15-38
Herausgeber: Springer International Publishing
DOI: 10.1007/978-3-319-62920-9_2

A Study on Immediate Automatic Usability Evaluation of Web Application User Interfaces

Autoren: Jevgeni Marenkov, Tarmo Robal, Ahto Kalja
Veröffentlicht in: Databases and Information Systems, Ausgabe 615, 2016, Seite(n) 257-271, ISBN 978-3-319-40179-9
Herausgeber: Springer International Publishing
DOI: 10.1007/978-3-319-40180-5_18

A Tool for Design-Time Usability Evaluation of Web User Interfaces

Autoren: Jevgeni Marenkov, Tarmo Robal, Ahto Kalja
Veröffentlicht in: Advances in Databases and Information Systems, Ausgabe 10509, 2017, Seite(n) 394-407, ISBN 978-3-319-66916-8
Herausgeber: Springer International Publishing
DOI: 10.1007/978-3-319-66917-5_26

Can I Have a Mooc2Go, Please? On the Viability of Mobile vs. Stationary Learning

Autoren: Yue Zhao, Tarmo Robal, Christoph Lofi, Claudia Hauff
Veröffentlicht in: Lifelong Technology-Enhanced Learning - 13th European Conference on Technology Enhanced Learning, EC-TEL 2018, Leeds, UK, September 3-5, 2018, Proceedings, Ausgabe 11082, 2018, Seite(n) 101-115, ISBN 978-3-319-98571-8
Herausgeber: Springer International Publishing
DOI: 10.1007/978-3-319-98572-5_8

Classification Algorithm Improvement for Physical Activity Recognition in Maritime Environments

Autoren: Ardo Allik, Kristjan Pilt, Deniss Karai, Ivo Fridolin, Mairo Leier, Gert Jervan
Veröffentlicht in: World Congress on Medical Physics and Biomedical Engineering 2018, Ausgabe 68/3, 2019, Seite(n) 13-17, ISBN 978-981-10-9022-6
Herausgeber: Springer Singapore
DOI: 10.1007/978-981-10-9023-3_3

EEG Functional Connectivity Detects Seasonal Changes

Autoren: Laura Päeske, Maie Bachmann, Jaan Raik, Hiie Hinrikus
Veröffentlicht in: World Congress on Medical Physics and Biomedical Engineering 2018 - June 3-8, 2018, Prague, Czech Republic (Vol.2), Ausgabe 68/2, 2019, Seite(n) 237-240, ISBN 978-981-10-9037-0
Herausgeber: Springer Singapore
DOI: 10.1007/978-981-10-9038-7_44

Energy-Efficient Multi-fragment Markov Model Guided Online Model-Based Testing for MPSoC

Autoren: Jüri Vain, Leonidas Tsiopoulos, Vyacheslav Kharchenko, Apneet Kaur, Maksim Jenihhin, Jaan Raik, Sven Nõmm
Veröffentlicht in: Green IT Engineering: Social, Business and Industrial Applications, Ausgabe 171, 2019, Seite(n) 273-297, ISBN 978-3-030-00252-7
Herausgeber: Springer International Publishing
DOI: 10.1007/978-3-030-00253-4_12

Fault Collapsing in Digital Circuits Using Fast Fault Dominance and Equivalence Analysis with SSBDDs

Autoren: Raimund Ubar, Lembit Jürimägi, Elmet Orasson, Jaan Raik
Veröffentlicht in: VLSI-SoC: Design for Reliability, Security, and Low Power, Ausgabe 483, 2016, Seite(n) 23-45, ISBN 978-3-319-46096-3
Herausgeber: Springer International Publishing
DOI: 10.1007/978-3-319-46097-0_2

Diagnostic Test Generation for Statistical Bug Localization Using Evolutionary Computation

Autoren: Marco Gaudesi, Maksim Jenihhin, Jaan Raik, Ernesto Sanchez, Giovanni Squillero, Valentin Tihhomirov, Raimund Ubar
Veröffentlicht in: Applications of Evolutionary Computation, 2014, Seite(n) 425-436, ISBN 978-3-662-45523-4
Herausgeber: Springer Berlin Heidelberg
DOI: 10.1007/978-3-662-45523-4_35

Fast identification of true critical paths in sequential circuits

Autoren: Raimund Ubar, Sergei Kostin, Maksim Jenihhin, Jaan Raik, Lembit Jürimägi
Veröffentlicht in: Microelectronics Reliability, Ausgabe 81, 2018, Seite(n) 252-261, ISSN 0026-2714
Herausgeber: Elsevier BV
DOI: 10.1016/j.microrel.2017.11.027

Modeling and simulation of circuits with shared structurally synthesized BDDs

Autoren: Raimund Ubar, Lembit Jürimägi, Jaan Raik, Vladimir Viies
Veröffentlicht in: Microprocessors and Microsystems, Ausgabe 48, 2017, Seite(n) 56-61, ISSN 0141-9331
Herausgeber: Elsevier BV
DOI: 10.1016/j.micpro.2016.09.006

Health Management for Self-Aware SoCs Based on IEEE 1687 Infrastructure

Autoren: Konstantin Shibin, Sergei Devadze, Artur Jutman, Martin Grabmann, Robin Pricken
Veröffentlicht in: IEEE Design & Test, Ausgabe 34/6, 2017, Seite(n) 27-35, ISSN 2168-2356
Herausgeber: IEEE Computer Society
DOI: 10.1109/MDAT.2017.2750902

Run-time reconfigurable instruments for advanced board-level testing

Autoren: Igor Aleksejev, Artur Jutman, Sergei Devadze
Veröffentlicht in: IEEE Instrumentation & Measurement Magazine, Ausgabe 20/4, 2017, Seite(n) 23-30, ISSN 1094-6969
Herausgeber: Institute of Electrical and Electronics Engineers
DOI: 10.1109/MIM.2017.8006390

Computing Sorted Subsets for Data Processing in Communicating Software/Hardware Control Systems

Autoren: Valery Sklyarov, Iouliia Skliarova, Artjom Rjabov, Alexander Sudnitson
Veröffentlicht in: International Journal of Computers Communications & Control, Ausgabe 11/1, 2015, Seite(n) 126, ISSN 1841-9836
Herausgeber: Agora University
DOI: 10.15837/ijccc.2016.1.1442

Fast Data Sort based on Searching Networks with Ring Pipeline

Autoren: Valery Sklyarov, Iouliia Skliarova, Alexander Sudnitson
Veröffentlicht in: Elektronika ir Elektrotechnika, Ausgabe 22/4, 2016, ISSN 1392-1215
Herausgeber: Kauno Technologijos Universitetas
DOI: 10.5755/j01.eie.22.4.15920

Fast iterative circuits and RAM-based mergers to accelerate data sort in software/hardware systems

Autoren: V Sklyarov, I Skliarova, A Rjabov, A Sudnitson
Veröffentlicht in: Proceedings of the Estonian Academy of Sciences, Ausgabe 66/3, 2017, Seite(n) 323, ISSN 1736-6046
Herausgeber: Estonian Academy Publishers
DOI: 10.3176/proc.2017.3.07

Guest Editorial: Implementation Issues in System-on-Chip

Autoren: Peeter Ellervee, Jari Nurmi
Veröffentlicht in: Journal of Signal Processing Systems, Ausgabe 87/3, 2017, Seite(n) 269-270, ISSN 1939-8018
Herausgeber: Springer Verlag
DOI: 10.1007/s11265-017-1242-x

Optimization of Boundary Scan Tests Using FPGA-Based Efficient Scan Architectures

Autoren: Igor Aleksejev, Sergei Devadze, Artur Jutman, Konstantin Shibin
Veröffentlicht in: Journal of Electronic Testing, Ausgabe 32/3, 2016, Seite(n) 245-255, ISSN 0923-8174
Herausgeber: Kluwer Academic Publishers
DOI: 10.1007/s10836-016-5588-y

Polymorphic Configuration Architecture for CGRAs

Autoren: Syed Mohammad Asad Hassan Jafri, Muhammad Adeel Tajammul, Ahmed Hemani, Kolin Paul, Juha Plosila, Peeter Ellervee, Hannu Tenuhnen
Veröffentlicht in: IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Ausgabe 24/1, 2016, Seite(n) 403-407, ISSN 1063-8210
Herausgeber: Institute of Electrical and Electronics Engineers
DOI: 10.1109/tvlsi.2015.2402392

Surrogate Data Method Requires End-Matched Segmentation of Electroencephalographic Signals to Estimate Non-linearity

Autoren: Laura Päeske, Maie Bachmann, Toomas Põld, Sara Pereira Mendes de Oliveira, Jaanus Lass, Jaan Raik, Hiie Hinrikus
Veröffentlicht in: Frontiers in Physiology, Ausgabe 9, 2018, ISSN 1664-042X
Herausgeber: Frontiers Research Foundation
DOI: 10.3389/fphys.2018.01350

Identification and Rejuvenation of NBTI-Critical Logic Paths in Nanoscale Circuits

Autoren: Maksim Jenihhin, Giovanni Squillero, Thiago Santos Copetti, Valentin Tihhomirov, Sergei Kostin, Marco Gaudesi, Fabian Vargas, Jaan Raik, Matteo Sonza Reorda, Leticia Bolzani Poehls, Raimund Ubar, Guilherme Cardoso Medeiros
Veröffentlicht in: Journal of Electronic Testing, Ausgabe 32/3, 2016, Seite(n) 273-289, ISSN 0923-8174
Herausgeber: Kluwer Academic Publishers
DOI: 10.1007/s10836-016-5589-x

Functional self-test of high-performance pipe-lined signal processing architectures

Autoren: Maksim Gorev, Raimund Ubar, Peeter Ellervee, Sergei Devadze, Jaan Raik, Mart Min
Veröffentlicht in: Microprocessors and Microsystems, Ausgabe 01419331, 2015, Seite(n) 909-918, ISSN 0141-9331
Herausgeber: Elsevier BV
DOI: 10.1016/j.micpro.2014.11.002

Transition delay fault simulation with parallel critical path back-tracing and 7-valued algebra

Autoren: Jaak Kõusaar, Raimund Ubar, Sergei Devadze, Jaan Raik
Veröffentlicht in: Microprocessors and Microsystems, Ausgabe 01419331, 2015, Seite(n) 1130-1138, ISSN 0141-9331
Herausgeber: Elsevier BV
DOI: 10.1016/j.micpro.2015.05.003

Automated Design Error Localization in RTL Designs

Autoren: Maksim Jenihhin, Anton Tsepurov, Valentin Tihhomirov, Jaan Raik, Hanno Hantson, Raimund Ubar, Gunter Bartsch, JorgeHernan Meza Escobar, Heinz-Dietrich Wuttke
Veröffentlicht in: IEEE Design & Test, Ausgabe 21682356, 2014, Seite(n) 83-92, ISSN 2168-2356
Herausgeber: IEEE Computer Society
DOI: 10.1109/MDAT.2013.2271420

Automated design error debug using high-level decision diagrams and mutation operators

Autoren: Jaan Raik, Urmas Repinski, Anton Chepurov, Hanno Hantson, Raimund Ubar, Maksim Jenihhin
Veröffentlicht in: Microprocessors and Microsystems, Ausgabe 01419331, 2013, Seite(n) 505-513, ISSN 0141-9331
Herausgeber: Elsevier BV
DOI: 10.1016/j.micpro.2012.11.004

Comprehensive performance and robustness analysis of 2D turn models for network-on-chips

Autoren: Siavoosh Payandeh Azad, Behrad Niazmand, Karl Janson, Thilo Kogge, Jaan Raik, Gert Jervan, Thomas Hollstein
Veröffentlicht in: 2017 IEEE International Symposium on Circuits and Systems (ISCAS), 2017, Seite(n) 1-4, ISBN 978-1-4673-6853-7
Herausgeber: IEEE
DOI: 10.1109/ISCAS.2017.8050634

High-level test generation for processing elements in many-core systems

Autoren: Adeboye Stephen Oyeniran, Raimund Ubar, Siavoosh Payandeh Azad, Jaan Raik
Veröffentlicht in: 2017 12th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), 2017, Seite(n) 1-8, ISBN 978-1-5386-3344-1
Herausgeber: IEEE
DOI: 10.1109/ReCoSoC.2017.8016156

Fault-resilient NoC router with transparent resource allocation

Autoren: Tsotne Putkaradze, Siavoosh Payandeh Azad, Behrad Niazmand, Jaan Raik, Gert Jervan
Veröffentlicht in: 2017 12th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), 2017, Seite(n) 1-8, ISBN 978-1-5386-3344-1
Herausgeber: IEEE
DOI: 10.1109/ReCoSoC.2017.8016161

Automated area and coverage optimization of minimal latency checkers

Autoren: Siavoosh Payandeh Azad, Behrad Niazmand, Apneet Kaur Sandhu, Jaan Raik, Gert Jervan, Thomas Hollstein
Veröffentlicht in: 2017 22nd IEEE European Test Symposium (ETS), 2017, Seite(n) 1-2, ISBN 978-1-5090-5457-2
Herausgeber: IEEE
DOI: 10.1109/ETS.2017.7968211

From online fault detection to fault management in Network-on-Chips: A ground-up approach

Autoren: Siavoosh Payandeh Azad, Behrad Niazmand, Karl Janson, Nevin George, Adeboye Stephen Oyeniran, Tsotne Putkaradze, Apneet Kaur, Jaan Raik, Gert Jervan, Raimund Ubar, Thomas Hollstein
Veröffentlicht in: 2017 IEEE 20th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), 2017, Seite(n) 48-53, ISBN 978-1-5386-0472-4
Herausgeber: IEEE
DOI: 10.1109/DDECS.2017.7934565

A scalable technique to identify true critical paths in sequential circuits

Autoren: Raimund Ubar, Sergei Kostin, Maksim Jenihhin, Jaan Raik
Veröffentlicht in: 2017 IEEE 20th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), 2017, Seite(n) 152-157, ISBN 978-1-5386-0472-4
Herausgeber: IEEE
DOI: 10.1109/DDECS.2017.7934568

Marginal PCB assembly defect detection on DDR3/4 memory bus

Autoren: Sergei Odintsov, Artur Jutman, Sergei Devadze
Veröffentlicht in: 2017 IEEE International Test Conference (ITC), 2017, Seite(n) 1-10, ISBN 978-1-5386-3413-4
Herausgeber: IEEE
DOI: 10.1109/TEST.2017.8242070

Embedded instrumentation toolbox for screening marginal defects and outliers for production

Autoren: Sergei Odintsov, Artur Jutman, Sergei Devadze, Igor Aleksejev
Veröffentlicht in: 2017 IEEE AUTOTESTCON, 2017, Seite(n) 1-9, ISBN 978-1-5090-4922-6
Herausgeber: IEEE
DOI: 10.1109/AUTEST.2017.8080516

Multi-view modeling for MPSoC design aspects

Autoren: Juri Vain, Apneet Kaur, Leonidas Tsiopoulos, Jaan Raik, Maksim Jenihhin
Veröffentlicht in: 2018 16th Biennial Baltic Electronics Conference (BEC), 2018, Seite(n) 1-6, ISBN 978-1-5386-7312-6
Herausgeber: IEEE
DOI: 10.1109/bec.2018.8600986

A Hierarchical Approach for Devising Area Efficient Concurrent Online Checkers

Autoren: Behrad Niazmand, Siavoosh Payandeh Azad, Tara Ghasempouri, Jaan Raik, Gert Jervan
Veröffentlicht in: 2018 IEEE International Test Conference in Asia (ITC-Asia), 2018, Seite(n) 139-144, ISBN 978-1-5386-5180-3
Herausgeber: IEEE
DOI: 10.1109/itc-asia.2018.00034

A novel random approach to diagnostic test generation

Autoren: Emmanuel Ovie Osimiry, Raimund Ubar, Sergei Kostin, Jaan Raik
Veröffentlicht in: 2016 IEEE Nordic Circuits and Systems Conference (NORCAS), 2016, Seite(n) 1-4, ISBN 978-1-5090-1095-0
Herausgeber: IEEE
DOI: 10.1109/norchip.2016.7792915

A suite of IEEE 1687 benchmark networks

Autoren: Anton Tsertov, Artur Jutman, Sergei Devadze, Matteo Sonza Reorda, Erik Larsson, Farrokh Ghani Zadegan, Riccardo Cantoro, Mehrdad Montazeri, Rene Krenz-Baath
Veröffentlicht in: 2016 IEEE International Test Conference (ITC), 2016, Seite(n) 1-10, ISBN 978-1-4673-8773-6
Herausgeber: IEEE
DOI: 10.1109/test.2016.7805840

A Synthesis-Agnostic Behavioral Fault Model for High Gate-Level Fault Coverage

Autoren: Anton Karputkin, Jaan Raik
Veröffentlicht in: Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2016, Seite(n) 1124-1127, ISBN 978-3-9815370-7-9
Herausgeber: Research Publishing Services
DOI: 10.3850/9783981537079_0260

A tool for random test generation targeting high diagnostic resolution

Autoren: Emmanuel Ovie Osimiry, Sergei Kostin, Jaan Raik, Raimund Ubar
Veröffentlicht in: 2016 15th Biennial Baltic Electronics Conference (BEC), 2016, Seite(n) 79-82, ISBN 978-1-5090-1393-7
Herausgeber: IEEE
DOI: 10.1109/bec.2016.7743733

A tool set for teaching design-for-testability of digital circuits

Autoren: S. Kostin, E. Orasson, R. Ubar
Veröffentlicht in: 2016 11th European Workshop on Microelectronics Education (EWME), 2016, Seite(n) 1-6, ISBN 978-1-4673-8584-8
Herausgeber: IEEE
DOI: 10.1109/ewme.2016.7496466

Activity classification for real-time wearable systems: Effect of window length, sampling frequency and number of features on classifier performance

Autoren: Ardo Allik, Kristjan Pilt, Deniss Karai, Ivo Fridolin, Mairo Leier, Gert Jervan
Veröffentlicht in: 2016 IEEE EMBS Conference on Biomedical Engineering and Sciences (IECBES), 2016, Seite(n) 460-464, ISBN 978-1-4673-7791-1
Herausgeber: IEEE
DOI: 10.1109/iecbes.2016.7843493

Administration of the State Information System of the Estonian eGovernment

Autoren: Ahto Kalja, Tarmo Robal, Triin Gailan
Veröffentlicht in: 2017 Portland International Conference on Management of Engineering and Technology (PICMET), 2017, Seite(n) 1-7, ISBN 978-1-890843-36-6
Herausgeber: IEEE
DOI: 10.23919/picmet.2017.8125312

An Automatic Approach to Evaluate Assertions' Quality Based on Data-Mining Metrics

Autoren: Tara Ghasempouri, Siavoosh Payandeh Azad, Behrad Niazmand, Jaan Raik
Veröffentlicht in: 2018 IEEE International Test Conference in Asia (ITC-Asia), 2018, Seite(n) 61-66, ISBN 978-1-5386-5180-3
Herausgeber: IEEE
DOI: 10.1109/itc-asia.2018.00021

AWAIT: An Ultra-Lightweight Soft-Error Mitigation Mechanism for Network-on-Chip Links

Autoren: Karl Janson, Rene Pihlak, Siavoosh Payandeh Azad, Behrad Niazmand, Gert Jervan, Jaan Raik
Veröffentlicht in: 2018 13th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), 2018, Seite(n) 1-6, ISBN 978-1-5386-7957-9
Herausgeber: IEEE
DOI: 10.1109/recosoc.2018.8449374

Augmented Coaching Ecosystem for Non-obtrusive Adaptive Personalized Elderly Care on the basis of Cloud-Fog-Dew computing paradigm

Autoren: Yu. Gordienko, S. Stirenko, O. Alienin, K. Skala, Z. Sojat, A. Rojbi, J.R. Lopez Benito, E. Artetxe Gonzalez, U. Lushchyk, L. Sajn, A. Llorente Coto, G. Jervan
Veröffentlicht in: 2017 40th International Convention on Information and Communication Technology, Electronics and Microelectronics (MIPRO), 2017, Seite(n) 359-364, ISBN 978-953-233-090-8
Herausgeber: IEEE
DOI: 10.23919/mipro.2017.7973449

Combined pseudo-exhaustive and deterministic testing of array multipliers

Autoren: Adeboye Stephen Oyeniran, Siavoosh Payandeh Azad, Raimund Ubar
Veröffentlicht in: 2018 IEEE International Conference on Automation, Quality and Testing, Robotics (AQTR), 2018, Seite(n) 1-6, ISBN 978-1-5386-2205-6
Herausgeber: IEEE
DOI: 10.1109/aqtr.2018.8402708

Data type dependent energy consumption estimation

Autoren: Priit Ruberg, Keijo Lass, Peeter Ellervee
Veröffentlicht in: 2016 IEEE Nordic Circuits and Systems Conference (NORCAS), 2016, Seite(n) 1-5, ISBN 978-1-5090-1095-0
Herausgeber: IEEE
DOI: 10.1109/norchip.2016.7792916

Developing a data acquisition system for measuring microcontroller energy consumption using LabVIEW

Autoren: Priit Ruberg, Keijo Lass, Peeter Ellervee
Veröffentlicht in: 2016 15th Biennial Baltic Electronics Conference (BEC), 2016, Seite(n) 123-126, ISBN 978-1-5090-1393-7
Herausgeber: IEEE
DOI: 10.1109/bec.2016.7743744

Embedded software performance estimations at different compiler optimisation levels

Autoren: Priit Ruberg, Keijo Lass, Elvar Liiv, Peeter Ellervee
Veröffentlicht in: 2017 5th IEEE Workshop on Advances in Information, Electronic and Electrical Engineering (AIEEE), 2017, Seite(n) 1-6, ISBN 978-1-5386-4137-8
Herausgeber: IEEE
DOI: 10.1109/aieee.2017.8270530

Parallel Critical Path Tracing Fault Simulation in Sequential Circuits

Autoren: Jaak Kousaar, Raimund Ubar, Sergei Kostin, Sergei Devadze, Jaan Raik
Veröffentlicht in: "2018 25th International Conference ""Mixed Design of Integrated Circuits and System"" (MIXDES)", 2018, Seite(n) 305-310, ISBN 978-83-63578-14-5
Herausgeber: IEEE
DOI: 10.23919/mixdes.2018.8436880

Fair and Individualized Project Teamwork Evaluation for an Engineering Course

Autoren: Tarmo Robal
Veröffentlicht in: 2018 28th EAEEIE Annual Conference (EAEEIE), 2018, Seite(n) 1-9, ISBN 978-1-5386-7711-7
Herausgeber: IEEE
DOI: 10.1109/eaeeie.2018.8534256

Fall detection and activity recognition system for usage in smart work-wear

Autoren: Mairo Leier, Gert Jervan, Ardo Allik, Kristjan Pilt, Deniss Karai, Ivo Fridolin
Veröffentlicht in: 2018 16th Biennial Baltic Electronics Conference (BEC), 2018, Seite(n) 1-4, ISBN 978-1-5386-7312-6
Herausgeber: IEEE
DOI: 10.1109/bec.2018.8600959

Conditional Fault Collapsing in Digital Circuits with Shared Structurally Synthesized BDDs

Autoren: Lembit Jurimagi, Raimund Ubar
Veröffentlicht in: 2018 16th Biennial Baltic Electronics Conference (BEC), 2018, Seite(n) 1-4, ISBN 978-1-5386-7312-6
Herausgeber: IEEE
DOI: 10.1109/bec.2018.8600967

Gate-level modelling of NBTI-induced delays under process variations

Autoren: Thiago Copetti, Guilherme Medeiros, Leticia Bolzani Poehls, Fabian Vargas, Sergei Kostin, Maksim Jenihhin, Jaan Raik, Raimund Ubar
Veröffentlicht in: 2016 17th Latin-American Test Symposium (LATS), 2016, Seite(n) 75-80, ISBN 978-1-5090-1331-9
Herausgeber: IEEE
DOI: 10.1109/latw.2016.7483343

Guideliner - a Tool to Improve Web UI Development for Better Usability

Autoren: Jevgeni Marenkov, Tarmo Robal, Ahto Kalja
Veröffentlicht in: Proceedings of the 8th International Conference on Web Intelligence, Mining and Semantics - WIMS '18, 2018, Seite(n) 1-9, ISBN 9781-450354899
Herausgeber: ACM Press
DOI: 10.1145/3227609.3227667

Handling of SETs on NoC Links by Exploitation of Inherent Redundancy in Circular Input Buffers

Autoren: Karl Janson, Rene Pihlak, Siavoosh Payandeh Azad, Behrad Niazmand, Gert Jervan, Jaan Raik
Veröffentlicht in: 2018 16th Biennial Baltic Electronics Conference (BEC), 2018, Seite(n) 1-4, ISBN 978-1-5386-7312-6
Herausgeber: IEEE
DOI: 10.1109/bec.2018.8600989

Hardware implementation of face recognition using low precision representation

Autoren: Sai Kumar Dwivedi, Siavoosh Payandeh Azad, Peeter Ellervee, Ratnakar Dash
Veröffentlicht in: 2016 15th Biennial Baltic Electronics Conference (BEC), 2016, Seite(n) 63-66, ISBN 978-1-5090-1393-7
Herausgeber: IEEE
DOI: 10.1109/bec.2016.7743729

Hardware-based systems for partial sorting of streaming data

Autoren: Artjom Rjabov
Veröffentlicht in: 2016 15th Biennial Baltic Electronics Conference (BEC), 2016, Seite(n) 59-62, ISBN 978-1-5090-1393-7
Herausgeber: IEEE
DOI: 10.1109/bec.2016.7743728

Hierarchical temporal memory implementation on FPGA using LFSR based spatial pooler address space generator

Autoren: Madis Kerner, Kalle Tammemae
Veröffentlicht in: 2017 IEEE 20th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), 2017, Seite(n) 92-95, ISBN 978-1-5386-0472-4
Herausgeber: IEEE
DOI: 10.1109/ddecs.2017.7934577

Hierarchical Timing-Critical Paths Analysis in Sequential Circuits

Autoren: Lembit Jurimagi, Raimund Ubar, Maksim Jenihhin, Jaan Raik, Sergei Devadze, Sergei Kostin
Veröffentlicht in: 2018 28th International Symposium on Power and Timing Modeling, Optimization and Simulation (PATMOS), 2018, Seite(n) 1-6, ISBN 978-1-5386-6365-3
Herausgeber: IEEE
DOI: 10.1109/patmos.2018.8464176

High-level modeling and testing of multiple control faults in digital systems

Autoren: Artjom Jasnetski, Stephen Adeboye Oyeniran, Anton Tsertov, Mario Scholzel, Raimund Ubar
Veröffentlicht in: 2016 IEEE 19th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), 2016, Seite(n) 1-6, ISBN 978-1-5090-2467-4
Herausgeber: IEEE
DOI: 10.1109/ddecs.2016.7482445

High-level test data generation for software-based self-test in microprocessors

Autoren: Adeboye Stephen Oyeniran, Artjom Jasnetski, Anton Tsertov, Raimund Ubar
Veröffentlicht in: 2017 6th Mediterranean Conference on Embedded Computing (MECO), 2017, Seite(n) 1-6, ISBN 978-1-5090-6742-8
Herausgeber: IEEE
DOI: 10.1109/meco.2017.7977167

IEEE 1687 Compliant Ecosystem for Embedded Instrumentation Access and In-Field Health Monitoring

Autoren: Anton Tsertov, Artur Jutman, Konstantin Shibin, Sergei Devadze
Veröffentlicht in: 2018 IEEE AUTOTESTCON, 2018, Seite(n) 1-9, ISBN 978-1-5386-5223-7
Herausgeber: IEEE
DOI: 10.1109/autest.2018.8532559

In-Field Detection of Degradation on PCB Assembly High-Speed Buses

Autoren: Sergei Odintsov
Veröffentlicht in: 2018 IEEE AUTOTESTCON, 2018, Seite(n) 1-6, ISBN 978-1-5386-5223-7
Herausgeber: IEEE
DOI: 10.1109/autest.2018.8532547

Understanding MPSoCs - exploiting memory microarchitectural vulnerabilities of high performance NoC-based MPSoCs

Autoren: Johanna Sepulveda, Cezar Reinbrecht, Siavoosh Payandeh Azad, Behrad Niazmand, Gert Jervan
Veröffentlicht in: Proceedings of the 18th International Conference on Embedded Computer Systems Architectures, Modeling, and Simulation - SAMOS '18, 2018, Seite(n) 162-166, ISBN 9781-450364942
Herausgeber: ACM Press
DOI: 10.1145/3229631.3239367

IntelliEye - Enhancing MOOC Learners' Video Watching Experience through Real-Time Attention Tracking

Autoren: Tarmo Robal, Yue Zhao, Christoph Lofi, Claudia Hauff
Veröffentlicht in: Proceedings of the 29th on Hypertext and Social Media - HT '18, 2018, Seite(n) 106-114, ISBN 9781-450354271
Herausgeber: ACM Press
DOI: 10.1145/3209542.3209547

Interactions of Zynq-7000 devices with general purpose computers through PCI-express: A case study

Autoren: Artjom Rjabov, Alexander Sudnitson, Valery Sklyarov, Iouliia Skliarova
Veröffentlicht in: 2016 18th Mediterranean Electrotechnical Conference (MELECON), 2016, Seite(n) 1-4, ISBN 978-1-5090-0058-6
Herausgeber: IEEE
DOI: 10.1109/melcon.2016.7495400

Logic-based implementation of fault-tolerant routing in 3D network-on-chips

Autoren: Behrad Niazmand, Siavoosh Payandeh Azad, Jose Flich, Jaan Raik, Gert Jervan, Thomas Hollstein
Veröffentlicht in: 2016 Tenth IEEE/ACM International Symposium on Networks-on-Chip (NOCS), 2016, Seite(n) 1-8, ISBN 978-1-4673-9030-9
Herausgeber: IEEE
DOI: 10.1109/nocs.2016.7579317

Minimization of the High-Level Fault Model for Microprocessor Control Parts

Autoren: Raimund Ubar, Adeboye Stephen Oyeniran, Olusiji Medaiyese
Veröffentlicht in: 2018 16th Biennial Baltic Electronics Conference (BEC), 2018, Seite(n) 1-4, ISBN 978-1-5386-7312-6
Herausgeber: IEEE
DOI: 10.1109/bec.2018.8600980

Mining and modelling web user engagement: A survey on academic sites for framework establishment

Autoren: Tarmo Robal, Ahto Kalja
Veröffentlicht in: 2016 Portland International Conference on Management of Engineering and Technology (PICMET), 2016, Seite(n) 1942-1952
Herausgeber: IEEE
DOI: 10.1109/picmet.2016.7806759

Multiple control fault testing in digital systems with high-level decision diagrams

Autoren: Raimund Ubar, Stephen Adeboye Oyeniran
Veröffentlicht in: 2016 IEEE International Conference on Automation, Quality and Testing, Robotics (AQTR), 2016, Seite(n) 1-6, ISBN 978-1-4673-8692-0
Herausgeber: IEEE
DOI: 10.1109/aqtr.2016.7501287

On automatic software-based self-test program generation based on high-level decision diagrams

Autoren: Artjom Jasnetski, Raimund Ubar, Anton Tsertov
Veröffentlicht in: 2016 17th Latin-American Test Symposium (LATS), 2016, Seite(n) 177-177, ISBN 978-1-5090-1331-9
Herausgeber: IEEE
DOI: 10.1109/latw.2016.7483357

On coverage of timing related faults at board level

Autoren: Artur Jutman, Igor Aleksejev, Sergei Devadze
Veröffentlicht in: 2016 21th IEEE European Test Symposium (ETS), 2016, Seite(n) 1-2, ISBN 978-1-4673-9659-2
Herausgeber: IEEE
DOI: 10.1109/ets.2016.7519295

On-line fault classification and handling in IEEE1687 based fault management system for complex SoCs

Autoren: Konstantin Shibin, Sergei Devadze, Artur Jutman
Veröffentlicht in: 2016 17th Latin-American Test Symposium (LATS), 2016, Seite(n) 69-74, ISBN 978-1-5090-1331-9
Herausgeber: IEEE
DOI: 10.1109/latw.2016.7483342

Ontology Design for Automatic Evaluation of Web User Interface Usability

Autoren: Tarmo Robal, Jevgeni Marenkov, Ahto Kalja
Veröffentlicht in: 2017 Portland International Conference on Management of Engineering and Technology (PICMET), 2017, Seite(n) 1-8, ISBN 978-1-890843-36-6
Herausgeber: IEEE
DOI: 10.23919/picmet.2017.8125425

Parallel Pseudo-Exhaustive Testing of Array Multipliers with Data-Controlled Segmentation

Autoren: Adeboye Stephen Oyeniran, Siavoosh Payandeh Azad, Raimund Ubar
Veröffentlicht in: 2018 IEEE International Symposium on Circuits and Systems (ISCAS), 2018, Seite(n) 1-5, ISBN 978-1-5386-4881-0
Herausgeber: IEEE
DOI: 10.1109/iscas.2018.8350936

Performance estimation of embedded applications on microcontrollers

Autoren: Priit Ruberg, Keijo Lass, Elvar Liiv, Peeter Ellervee
Veröffentlicht in: 2017 IEEE Nordic Circuits and Systems Conference (NORCAS): NORCHIP and International Symposium of System-on-Chip (SoC), 2017, Seite(n) 1-6, ISBN 978-1-5386-2844-7
Herausgeber: IEEE
DOI: 10.1109/norchip.2017.8124964

Practicing start-up culture in teaching embedded systems

Autoren: Uljana Reinsalu, Siavoosh Payandeh Azad, Mairo Leier, Kalle Tammemae, Thomas Hollstein
Veröffentlicht in: 2016 11th European Workshop on Microelectronics Education (EWME), 2016, Seite(n) 1-6, ISBN 978-1-4673-8584-8
Herausgeber: IEEE
DOI: 10.1109/ewme.2016.7496463

QoSinNoC: Analysis of QoS-Aware NoC Architectures for Mixed-Criticality Applications

Autoren: Serhiy Avramenko, Siavoosh Payandeh Azad, Stefano Esposito, Behrad Niazmand, Massimo Violante, Jaan Raik, Maksim Jenihhin
Veröffentlicht in: 2018 IEEE 21st International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), 2018, Seite(n) 67-72, ISBN 978-1-5386-5754-6
Herausgeber: IEEE
DOI: 10.1109/ddecs.2018.00-10

RAM-based mergers for data sort and frequent item computation

Autoren: Artjom Rjabov, Valery Sklyarov, Iouliia Skliarova, Alexander Sudnitson
Veröffentlicht in: 2017 40th International Convention on Information and Communication Technology, Electronics and Microelectronics (MIPRO), 2017, Seite(n) 176-181, ISBN 978-953-233-090-8
Herausgeber: IEEE
DOI: 10.23919/mipro.2017.7973413

Reconfigurable systems in engineering education: Best practices and future trends

Autoren: Iouliia Skliarova, Valery Sklyarov, Alexander Sudnitson, Margus Kruus
Veröffentlicht in: 2017 IEEE Global Engineering Education Conference (EDUCON), 2017, Seite(n) 1084-1088, ISBN 978-1-5090-5467-1
Herausgeber: IEEE
DOI: 10.1109/educon.2017.7942983

Reliable health monitoring and fault management infrastructure based on embedded instrumentation and IEEE 1687

Autoren: Artur Jutman, Konstantin Shibin, Sergei Devadze
Veröffentlicht in: 2016 IEEE AUTOTESTCON, 2016, Seite(n) 1-10, ISBN 978-1-5090-0790-5
Herausgeber: IEEE
DOI: 10.1109/autest.2016.7589605

Replication-Based Deterministic Testing of 2-Dimensional Arrays with Highly Interrelated Cells

Autoren: Siavoosh Payandeh Azad, Adeboye Stephen Oyeniran, Raimund Ubar
Veröffentlicht in: 2018 IEEE 21st International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), 2018, Seite(n) 21-26, ISBN 978-1-5386-5754-6
Herausgeber: IEEE
DOI: 10.1109/ddecs.2018.00011

Scalable algorithm for structural fault collapsing in digital circuits

Autoren: Raimund Ubar, Lembit Jurimagi, Elmet Orasson, Jaan Raik
Veröffentlicht in: 2015 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), 2015, Seite(n) 171-176, ISBN 978-1-4673-9140-5
Herausgeber: IEEE
DOI: 10.1109/vlsi-soc.2015.7314411

Self-driving car ISEAUTO for research and education

Autoren: Raivo Sell, Mairo Leier, Anton Rassolkin, Juhan-Peep Ernits
Veröffentlicht in: 2018 19th International Conference on Research and Education in Mechatronics (REM), 2018, Seite(n) 111-116, ISBN 978-1-5386-5413-2
Herausgeber: IEEE
DOI: 10.1109/rem.2018.8421793

SoCDep 2 : A framework for dependable task deployment on many-core systems under mixed-criticality constraints

Autoren: Siavoosh Payandeh Azad, Behrad Niazmand, Peeter Ellervee, Jaan Raik, Gert Jervan, Thomas Hollstein
Veröffentlicht in: 2016 11th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), 2016, Seite(n) 1-6, ISBN 978-1-5090-2520-6
Herausgeber: IEEE
DOI: 10.1109/recosoc.2016.7533903

Software-Level TMR Approach for On-Board Data Processing in Space Applications

Autoren: Karl Janson, Carl Johann Treudler, Thomas Hollstein, Jaan Raik, Maksim Jenihhin, Goerschwin Fey
Veröffentlicht in: 2018 IEEE 21st International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), 2018, Seite(n) 147-152, ISBN 978-1-5386-5754-6
Herausgeber: IEEE
DOI: 10.1109/ddecs.2018.00033

Standards-based tools and services for building lifelong learning pathways

Autoren: C. Sgouropoulou, I. Voyiatzis, A. Koutoumanos, S. Hamdioui, P. Pouyan, M. Comte, P. Prinetto, G. Airo Farulla, P. Ellervee, C. Delgado Kloos, R. Crespo Garcia
Veröffentlicht in: 2017 IEEE Global Engineering Education Conference (EDUCON), 2017, Seite(n) 1619-1621, ISBN 978-1-5090-5467-1
Herausgeber: IEEE
DOI: 10.1109/educon.2017.7943065

Stationary vs. Non-stationary Mobile Learning in MOOCs

Autoren: Yue Zhao, Tarmo Robal, Christoph Lofi, Claudia Hauff
Veröffentlicht in: Adjunct Publication of the 26th Conference on User Modeling, Adaptation and Personalization - UMAP '18, 2018, Seite(n) 299-303, ISBN 9781-450357845
Herausgeber: ACM Press
DOI: 10.1145/3213586.3225241

Timing-critical path analysis with structurally synthesized BDDs

Autoren: Raimund Ubar, Lembit Jurimagi, Maksim Jenihhin, Jaan Raik, Niyi-Leigh Olugbenga, Vladimir Viies
Veröffentlicht in: 2018 7th Mediterranean Conference on Embedded Computing (MECO), 2018, Seite(n) 1-6, ISBN 978-1-5386-5683-9
Herausgeber: IEEE
DOI: 10.1109/meco.2018.8406051

TransMem: A memory architecture to support dynamic remapping and parallelism in low power high performance CGRAs

Autoren: Muhammad Adeel Tajammul, Syed M. A. H. Jafri, Ahmed Hemani, Peter Ellervee
Veröffentlicht in: 2016 26th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), 2016, Seite(n) 92-99, ISBN 978-1-5090-0733-2
Herausgeber: IEEE
DOI: 10.1109/patmos.2016.7833431

Webcam-based Attention Tracking in Online Learning - A Feasibility Study

Autoren: Tarmo Robal, Yue Zhao, Christoph Lofi, Claudia Hauff
Veröffentlicht in: Proceedings of the 2018 Conference on Human Information Interaction&Retrieval - IUI '18, 2018, Seite(n) 189-197, ISBN 9781-450349451
Herausgeber: ACM Press
DOI: 10.1145/3172944.3172987

Universal mitigation of NBTI-induced aging by design randomization

Autoren: Maksim Jenihhin, Alexander Kamkin, Zainalabedin Navabi, Somayeh Sadeghi-Kohan
Veröffentlicht in: 2016 IEEE East-West Design & Test Symposium (EWDTS), 2016, Seite(n) 1-5, ISBN 978-1-5090-0693-9
Herausgeber: IEEE
DOI: 10.1109/ewdts.2016.7807635

A framework for improving web application user interfaces through immediate evaluation

Autoren: Marenkov, Jevgeni; Robal, Tarmo; Kalja, Ahto
Veröffentlicht in: 2016
Herausgeber: IOS Press
DOI: 10.3233/978-1-61499-714-6-283

Automated software-based self-test generation for microprocessors

Autoren: Artjom Jasnetski, Raimund Ubar, Anton Tsertov
Veröffentlicht in: "2017 MIXDES - 24th International Conference ""Mixed Design of Integrated Circuits and Systems", 2017, Seite(n) 453-458, ISBN 978-83-63578-12-1
Herausgeber: IEEE
DOI: 10.23919/mixdes.2017.8005252

Comparison of Predictive Equations for Basal Metabolic Rate

Autoren: Allik, A.; Mägi, S.; Pilt, K.; Karai, D.; Fridolin I.; Leier, M.; Jervan, G.
Veröffentlicht in: 2017
Herausgeber: Springer

Refactoring - key to success for constantly developed projects

Autoren: Põld, Janari; Kalja, Ahto; Robal, Tarmo
Veröffentlicht in: 2017
Herausgeber: IOS Press
DOI: 10.3233/978-1-61499-720-7-13

BASTION: Board and SoC test instrumentation for ageing and no failure found

Autoren: Artur Jutman, Christophe Lotz, Erik Larsson, Matteo Sonza Reorda, Maksim Jenihhin, Jaan Raik, Hans Kerkhoff, Rene Krenz-Baath, Piet Engelke
Veröffentlicht in: Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017, 2017, Seite(n) 115-120, ISBN 978-3-9815370-8-6
Herausgeber: IEEE
DOI: 10.23919/DATE.2017.7926968

Rejuvenation of NBTI-Impacted Processors Using Evolutionary Generation of Assembler Programs

Autoren: Francesco Pellerey, Maksim Jenihhin, Giovanni Squillero, Jaan Raik, Matteo Sonza Reorda, Valentin Tihhomirov, Raimund Ubar
Veröffentlicht in: 2016 IEEE 25th Asian Test Symposium (ATS), 2016, Seite(n) 304-309, ISBN 978-1-5090-3809-1
Herausgeber: IEEE
DOI: 10.1109/ATS.2016.57

Designing reliable cyber-physical systems overview associated to the special session at FDL'16

Autoren: Gadi Aleksandrowicz, Eli Arbel, Roderick Bloem, Timon Ter Braak, Sergei Devadze, Goerschwin Fey, Maksim Jenihhin, Artur Jutman, Hans G. Kerkhoff, Robert Konighofer, Jan Malburg, Shiri Moran, Jaan Raik, Gerard Rauwerda, Heinz Riener, Franz Rock, Konstantin Shibin, Kim Sunesen, Jinbo Wan, Yong Zhao
Veröffentlicht in: 2016 Forum on Specification and Design Languages (FDL), 2016, Seite(n) 1-8, ISBN 979-10-92279-17-7
Herausgeber: IEEE
DOI: 10.1109/FDL.2016.7880382

A comprehensive methodology for stress procedures evaluation and comparison for Burn-In of automotive SoC

Autoren: D. Appello, P. Bernardi, G. Giacopelli, A. Motta, A. Pagani, G. Pollaccia, C. Rabbi, M. Restifo, P. Ruberg, E. Sanchez, C.M. Villa, F. Venini
Veröffentlicht in: Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017, 2017, Seite(n) 646-649, ISBN 978-3-9815370-8-6
Herausgeber: IEEE
DOI: 10.23919/DATE.2017.7927068

Automated minimization of concurrent online checkers for Network-on-Chips

Autoren: Pietro Saltarelli, Behrad Niazmand, Ranganathan Hariharan, Jaan Raik, Gert Jervan, Thomas Hollstein
Veröffentlicht in: 2015 10th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), 2015, Seite(n) 1-8, ISBN 978-1-4673-7942-7
Herausgeber: IEEE
DOI: 10.1109/ReCoSoC.2015.7238079

A Framework for Comprehensive Automated Evaluation of Concurrent Online Checkers

Autoren: Pietro Saltarelli, Behrad Niazmand, Jaan Raik, Ranganathan Hariharan, Gert Jervan, Thomas Hollstein
Veröffentlicht in: 2015 Euromicro Conference on Digital System Design, 2015, Seite(n) 288-292, ISBN 978-1-4673-8035-5
Herausgeber: IEEE
DOI: 10.1109/DSD.2015.15

FSMD RTL Design Manipulation for Clock Interface Abstraction

Autoren: Syed, Saif Abrar; Jenihhin, Maksim; Raik, Jaan.
Veröffentlicht in: International Conference on Advances in Computing, Communications and Informatics (ICACCI), Kochi, India, August 10-13, 2015, 2015, Seite(n) 1-6
Herausgeber: IEEE

A Framework for Combining Concurrent Checking and On-Line Embedded Test for Low-Latency Fault Detection in NoC Routers

Autoren: Pietro Saltarelli, Behrad Niazmand, Jaan Raik, Vineeth Govind, Thomas Hollstein, Gert Jervan, Ranganathan Hariharan
Veröffentlicht in: Proceedings of the 9th International Symposium on Networks-on-Chip - NOCS '15, 2015, Seite(n) 1-8, ISBN 9781450333962
Herausgeber: ACM Press
DOI: 10.1145/2786572.2788713

Rejuvenation of Nanoscale Logic at NBTI-Critical Paths Using Evolutionary TPG

Autoren: Palermo, N.; Tihhomirov, V.; Copetti, T.S.; Jenihhin, M.; Raik, J.; Kostin, S.; Gaudesi, M.; Squillero, G.; Sonza Reorda, M.; Vargas, F.; Bolzani Poehls, L.
Veröffentlicht in: 16th IEEE Latin-American Test Symposium March 25 - 27, 2015, Puerto Vallarta, Mexico, 2015, Seite(n) 1-6
Herausgeber: IEEE Computer Society Press

Scalable Algorithm for Structural Fault Collapsing in Digital Circuits

Autoren: Ubar, Raimund; Jürimägi, Lembit; Orasson, Elmet; Raik, Jaan
Veröffentlicht in: IFIP/IEEE International Conference on Very Large Scale Integration - VLSI-SoC'2015, 2015, Seite(n) 1-6
Herausgeber: IEEE Computer Society Press

New Fault Models and Self-Test Generation for Microprocessors using High-Level Decision Diagrams

Autoren: Jasnetski, Artjom; Raik, Jaan; Tsertov, Anton; Ubar, Raimund
Veröffentlicht in: IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems - DDECS, 2015, Seite(n) 1-6
Herausgeber: IEEE Computer Society Press

SPICE-Inspired Fast Gate-Level Computation of NBTI-induced Delays in Nanoscale Logic

Autoren: Kostin, Sergei; Raik, Jaan; Ubar, Raimund; Jenihhin, Maksim; Copetti, Thiago; Vargas, Fabian; Bolzani Poehls, Leticia
Veröffentlicht in: IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems 2015, Belgrade, Serbia, 2015, Seite(n) 1-6
Herausgeber: IEEE Computer Society Press

SystemC-Based Loose Models for Simulation Speed-Up by Abstraction of RTL IP Cores

Autoren: Syed, Saif Abrar; Jenihhin, Maksim; Raik, Jaan
Veröffentlicht in: IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems 2015, Belgrade, Serbia, 2015, Seite(n) 1-4
Herausgeber: IEEE Computer Society Press

Advanced Technical Education in the Age of Cyber Physical Systems

Autoren: Vierhaus, Heinrich; Raik, Jaan; Ubar, Raimund
Veröffentlicht in: Proceedings of the 10th European Workshop on Microelectronics Education – EWME, 2014, Seite(n) 1-4
Herausgeber: IEEE Computer Society

Abstraction of clock interface for conversion of RTL VHDL to SystemC

Autoren: Syed Saif Abrar, Maksim Jenihhin, Jaan Raik
Veröffentlicht in: 2014 IEEE International Advance Computing Conference (IACC), 2014, Seite(n) 50-55, ISBN 978-1-4799-2572-8
Herausgeber: IEEE
DOI: 10.1109/IAdCC.2014.6779293

Critical Path Tracing based Simulation of Transition Delay Faults

Autoren: Kõusaar, J.; Ubar, R.; Devadze, S.; Raik, J.
Veröffentlicht in: 17th Euromicro Conference on Digital System Design, Verona, Italy, August 27-29, 2014, 2014
Herausgeber: IEEE Computer Society

Diagnostic Test Generation for Statistical Bug Localization using Evolutionary Computation

Autoren: Gaudesi, Marco; Jenihhin, Maksim; Raik, Jaan; Sanchez, Ernesto; Squillero, Giovanni; Tihomirov, Valentin; Ubar, Raimund
Veröffentlicht in: Genetic and Evolutionary Computation Conference, Vancouver, BC, Canada, July 12-16, 2014, 2014, Seite(n) 1-6
Herausgeber: IEEE Computer Society Press

Assessment of diagnostic test for automated bug localization

Autoren: Valentin Tihhomirov, Anton Tsepurov, Maksim Jenihhin, Jaan Raik, Raimund Ubar
Veröffentlicht in: 2013 14th Latin American Test Workshop - LATW, 2013, Seite(n) 1-6, ISBN 978-1-4799-0597-3
Herausgeber: IEEE
DOI: 10.1109/LATW.2013.6562665

Extended Checkers for Logic-Based Distributed Routing in Network-on-Chips

Autoren: Niazmand, Behrad; Hariharan, Ranganathan; Govind, Vineeth; Jervan, Gert; Hollstein, Thomas; Raik, Jaan
Veröffentlicht in: Baltic Electronic Conference, Laulasmaa, Estonia, 2014, Seite(n) 1-4
Herausgeber: IEEE

Extensible Open-Source Framework for Translating RTL VHDL IP Cores to SystemC

Autoren: Syed, Saif Abrar; Jenihhin, Maksim; Raik, Jaan
Veröffentlicht in: 15th IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS), Karlovy Vary, Czech Republic, April 8-10, 2013, 2013, Seite(n) 112-115
Herausgeber: IEEE

At-speed self-testing of high-performance pipe-lined processing architectures

Autoren: Maksim Gorev, Raimund Ubar, Peeter Ellervee, Sergei Devadze, Jaan Raik, Mart Min
Veröffentlicht in: 2013 NORCHIP, 2013, Seite(n) 1-6, ISBN 978-1-4799-1647-4
Herausgeber: IEEE
DOI: 10.1109/NORCHIP.2013.6702000

Performance Analysis of Cosimulating Processor Core in VHDL and SystemC

Autoren: Syed, Saif Abrar; Shyam, Kiran A.; Jenihhin, Maksim; Raik, Jaan; Babu, C.
Veröffentlicht in: Proc. of 2nd IEEE International Conference on Advances in Computing, Communications & Informatics, 2013, Seite(n) 1-6
Herausgeber: IEEE

Identifying NBTI-Critical Paths in Nanoscale Logic

Autoren: Ubar, Raimund; Vargas, Fabian; Jenihhin, Maksim; Raik, Jaan; Kostin, Serge; Bolzani Poehls, Letícia
Veröffentlicht in: Proceedings of the 16th Euromicro Conference on Digital System Design, 2013, Seite(n) 136–141
Herausgeber: IEEE Computer Society Press

Synthesis of Multiple Fault Oriented Test Groups from Single Fault Test Sets

Autoren: Ubar, Raimund; Kostin, Sergei; Raik, Jaan
Veröffentlicht in: 8th Int. Conference on Design & Technology of Integrated Systems in Nanoscale Era - DTIS’13, 2013, Seite(n) 1-6
Herausgeber: IEEE Computer Society

Design-Time Web Usability Evaluation with Guideliner

Autoren: Jevgeni Marenkov, Tarmo Robal, Ahto Kalja
Veröffentlicht in: Complex Systems Informatics and Modeling Quarterly, Ausgabe 15, 2018, Seite(n) 90-109, ISSN 2255-9922
Herausgeber: 0302-9743
DOI: 10.7250/csimq.2018-15.05

Suche nach OpenAIRE-Daten ...

Bei der Suche nach OpenAIRE-Daten ist ein Fehler aufgetreten

Es liegen keine Ergebnisse vor