Skip to main content
CORDIS - Forschungsergebnisse der EU
CORDIS

Open transPREcision COMPuting

Leistungen

Second summer school

Second summer school organized.

Third summer school

Third summer school organized.

First summer school

First summer school organized.

Set up of intranet, data repository, and project management tool

OPRECOMP will make use of a web-based professional project management tool in order to facilitate the better management of all administrative, technical, and financial activities of the project.

Web-site and project logo

OPRECOMP public web-site and content, logo, presentation templates, and material for the identity of the project.

Final data management plan

The data management plan initially released on month M06 will be updated and finalized.

Initial data management plan

A first version of the data management plan will be released.

Final report of big data applications using transprecision computing

Final report on the Big Data application in T8.3 using the kW platform.

ReRAM and heterogeneous 3D-memory architecture models

The outputs of this deliverable are ReRAM and heterogeneous 3D-Memory models, which allow on different abstraction levels (from circuit to system level) explorations of appropriate memory architectures.

Summer of code report

Report on the 2-year summer of code activity outcome.

Initial report of embedded deep learning using transprecision computing

Report detailing the initial gains obtained by employing transprecision computing in deep learning applications

Evaluation results for heterogeneous memories

Report on the energy efficiency of heterogeneous memory hierarchies based on emerging memory technologies.

Final communication activity and plan report

A report on planned/completed communication activities will be provided.

Final report on micro-benchmarks

Final report on the applications and micro-benchmarks selected for the project as well as the measured performance on state-of-the-art architectures.

Simulation results for NEMS memory devices

Evaluation results of non-conventional NEMS memory devices will be provided by UNIPG.

Stochastic logic gate modeling

Report describing the functioning model of stochastic logic gates to be operated under large fluctuations.

Fundamental physics limits

Report that formalizes the fundamental physics limits of computation for logic gates and memory devices.

Final report of embedded deep learning using transprecision computing

Report detailing all the gains obtained by employing transprecision computing in deep learning applications

Initial report of big data applications using transprecision computing

Initial report on the Big Data application in T8.3 using the kW platform.

Evaluation of approximate computing techniques

The output of this deliverable is a quantification of the benefits of memorization, task elimination, loop perforation, randomized sparsification and sketching, and skipping memory accesses.

Report on applications and micro-benchmarks performance demo

Report on the achieved performance of the selected applications and micro-benchmarks by using the full transprecision framework developed during the project.

Final version of the algorithms

Report on the achieved gains attained with the new algorithms for data assimilation, linear algebra, graph analytics and the approximate computing.

Initial dissemination and exploitation plan report

Initial report on dissemination and exploitation. The report will include also a market analysis for the technology developed by OPRECOMP.

Processing unit for controllable precision

Specification of the processing unit with precision control that will be used in mW and kW range systems.

Intermediate applications progress report

Report describing all the progress made on all micro-benchmarks.

Definition of the hardware abstraction layer

Definition of the hardware abstraction layer that controls various precision configuration options of architectures.

Final report on scientific computation using transprecision computing

Report on scientific computation kernels running on the kW platform.

Error-energy relations: fundamental limits

Report containing the analysis of the relation between minimum energy required for logic operation that depends on fundamental physical constrains.

Initial communication activity and plan report

A report on planned/completed communication activities will be provided.

Transprecision software stack design

An initial characterization of relevant applications and/or proxy benchmarks for these applications. The deliverable will present the initial design and elaboration of functionality of the software stack.

Numerical analysis of algorithms

Report that assesses the effect of transprecision computing on the algorithms.

Initial report on scientific computation using transprecision computing

Initial report on scientific computation kernels running on the kW platform.

Intermediate dissemination and exploitation plan report

Intermediate report on dissemination and exploitation. The report will include also a market analysis for the technology developed by OPRECOMP.

Prototype version of the algorithms

A report describing the advances attained with the algorithms during the initial refactoring phase.

Intermediate communication activity and plan report

A report on planned/completed communication activities will be provided.

Error-energy relations: technological limits

Report containing the analysis of the relation between minimum energy required for logic operation and result accuracy bounded by limits imposed by technology selected in WP4.

Numerical analysis of transprecision

Report that formalizes the extension of fundamental principles of numerical analysis to variable precision arithmetic.

Final dissemination and exploitation plan report

Final report on dissemination and exploitation. The report will include also a market analysis for the technology developed by OPRECOMP.

NEMS/MEMS demo report

Report on the experimental verification of technology limits.

Final applications progress report

Report describing all the progress made on all micro-benchmarks.

Evaluation of transprecision

The output of this deliverable is a quantification of the benefits of transprecision (e.g., low precision floating-point vs fixed-point representation) and stochastic estimators on the five problem domains.

Error resilience

Report with a precise characterization of the algorithmic kernels to be targeted by the approximate computing techniques on the five problem domains.

Quality metrics

A concise definition of metrics to be used in the quality assessment of the solutions produced by the algorithms.

Initial report on micro-benchmarks

Initial report on the applications and micro-benchmarks selected for the project as well as the measured performance on state-of-the-art architectures.

Initial applications progress report

Report describing all the progress made on all micro-benchmarks.

Initial version of the kW pilot-platform

Initial working version of the HPC node. It will be used in WP8 to demonstrate the kW range apps.

Final version of the kW pilot-platform

Final working version of the HPC node. It will be used in WP8 to demonstrate the kW range apps.

Final version of the mW pilot-platform

Final working version of the mW platform. It will be used in WP8 to demonstrate the mW range apps.

Initial version of the mW pilot-platform

Initial working version of the mW platform. It will be used in WP8 to demonstrate the mW range apps.

Intermediate version of transprecision software stack

The initial version of the software stack will be early available for applications (WP7) and initial demonstrations (WP8). The final version will be used to make the final evaluations of the systems in the mW and kW range.

Initial version of transprecision software stack

The initial version of the software stack will be early available for applications (WP7) and initial demonstrations (WP8). The final version will be used to make the final evaluations of the systems in the mW and kW range.

Final version of transprecision software stack

The initial version of the software stack will be early available for applications (WP7) and initial demonstrations (WP8). The final version will be used to make the final evaluations of the systems in the mW and kW range.

Veröffentlichungen

NARMADA: Near-Memory Horizontal Diffusion Accelerator for Scalable Stencil Computations

Autoren: Gagandeep Singh, Dionysios Diamantopoulos, Christoph Hagleitner, Sander Stuijk, Henk Corporaal
Veröffentlicht in: 2019 29th International Conference on Field Programmable Logic and Applications (FPL), 2019, Seite(n) 263-269, ISBN 978-1-7281-4884-7
Herausgeber: IEEE
DOI: 10.1109/fpl.2019.00050

XwattPilot: A Full-stack Cloud System Enabling Agile Development of Transprecision Software for Low-power SoCs

Autoren: Dionysios Diamantopoulos, Florian Scheidegger, Stefan Mach, Fabian Schuiki, Germain Haugou, Michael Schaffner, Frank K. Gurkaynak, Christoph Hagleitner, A. Cristiano I. Malossi, Luca Benini
Veröffentlicht in: 2020 IEEE Symposium in Low-Power and High-Speed Chips (COOL CHIPS), 2020, Seite(n) 1-3, ISBN 978-1-7281-6347-5
Herausgeber: IEEE
DOI: 10.1109/coolchips49199.2020.9097644

Prediction of Time-to-Solution in Material Science Simulations Using Deep Learning

Autoren: Federico Pittino, Pietro Bonfà, Andrea Bartolini, Fabio Affinito, Luca Benini, Carlo Cavazzoni
Veröffentlicht in: Proceedings of the Platform for Advanced Scientific Computing Conference, 2019, Seite(n) 1-9, ISBN 9781450367707
Herausgeber: ACM
DOI: 10.1145/3324989.3325720

Agile Autotuning of a Transprecision Tensor Accelerator Overlay for TVM Compiler Stack

Autoren: Dionysios Diamantopoulos, Burkhard Ringlein, Mitra Purandare, Gagandeep Singh, Christoph Hagleitner
Veröffentlicht in: International Conference on Field Programmable Logic and Applications, 2020, ISBN 978-1-7281-9902-3
Herausgeber: IEEE
DOI: 10.1109/fpl50879.2020.00058

HaRMony - Heterogeneous-Reliability Memory and QoS-Aware Energy Management on Virtualized Servers

Autoren: Konstantinos Tovletoglou, Lev Mukhanov, Dimitrios S. Nikolopoulos, Georgios Karakonstantis
Veröffentlicht in: Proceedings of the Twenty-Fifth International Conference on Architectural Support for Programming Languages and Operating Systems, 2020, Seite(n) 575-590, ISBN 9781450371025
Herausgeber: ACM
DOI: 10.1145/3373376.3378489

HelmGemm: Managing GPUs and FPGAs for Transprecision GEMM Workloads in Containerized Environments

Autoren: Dionysios Diamantopoulos, Christoph Hagleitner
Veröffentlicht in: 2019 IEEE 30th International Conference on Application-specific Systems, Architectures and Processors (ASAP), 2019, Seite(n) 71-74, ISBN 978-1-7281-1601-3
Herausgeber: IEEE
DOI: 10.1109/asap.2019.00-27

Combining learning and optimization for transprecision computing

Autoren: Andrea Borghesi, Giuseppe Tagliavini, Michele Lombardi, Luca Benini, Michela Milano
Veröffentlicht in: Proceedings of the 17th ACM International Conference on Computing Frontiers, 2020, Seite(n) 10-18, ISBN 9781450379564
Herausgeber: ACM
DOI: 10.1145/3387902.3392615

A Mixed-Precision RISC-V Processor for Extreme-Edge DNN Inference

Autoren: Gianmarco Ottavi; Angelo Garofalo; Giuseppe Tagliavini; Francesco Conti; Luca Benini; Davide Rossi
Veröffentlicht in: IEEE Computer Society Annual Symposium on VLSI, 2020, ISBN 978-1-7281-5775-7
Herausgeber: IEEE
DOI: 10.1109/isvlsi49217.2020.000-5

NERO: A Near High-Bandwidth Memory Stencil Accelerator for Weather Prediction Modeling

Autoren: Gagandeep Singh, Dionysios Diamantopoulos, Christoph Hagleitner, Juan Gomez-Luna, Sander Stuijk, Onur Mutlu, Henk Corporaal
Veröffentlicht in: 2020 30th International Conference on Field-Programmable Logic and Applications (FPL), 2020, Seite(n) 9-17, ISBN 978-1-7281-9902-3
Herausgeber: IEEE
DOI: 10.1109/fpl50879.2020.00014

Access-Aware Per-Bank DRAM Refresh for Reduced DRAM Refresh Overhead

Autoren: Eder F. Zulian, Christian Weis, Norbert Wehn
Veröffentlicht in: 2020 IEEE International Symposium on Circuits and Systems (ISCAS), 2020, Seite(n) 1-5, ISBN 978-1-7281-3320-1
Herausgeber: IEEE
DOI: 10.1109/iscas45731.2020.9180873

DORY: Lightweight memory hierarchy management for deep NN inference on IoT endnodes - work-in-progress

Autoren: Alessio Burrello, Francesco Conti, Angelo Garofalo, Davide Rossi, Luca Benini
Veröffentlicht in: Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis Companion, 2019, Seite(n) 1-2, ISBN 9781450369237
Herausgeber: ACM
DOI: 10.1145/3349567.3351726

Precision variable anonymization method supporting transprecision computing

Autoren: Keiya Harada, Henri-Pierre Charles, Hiroaki Nishi
Veröffentlicht in: 2020 22nd International Conference on Advanced Communication Technology (ICACT), 2020, Seite(n) 35-42, ISBN 979-11-88428-04-5
Herausgeber: IEEE
DOI: 10.23919/icact48636.2020.9061512

CBinfer - Change-Based Inference for Convolutional Neural Networks on Video Data

Autoren: Lukas Cavigelli, Philippe Degen, Luca Benini
Veröffentlicht in: Proceedings of the 11th International Conference on Distributed Smart Cameras, 2017, Seite(n) 1-8, ISBN 9781450354875
Herausgeber: ACM
DOI: 10.1145/3131885.3131906

Mixed-data-model heterogeneous compilation and OpenMP offloading

Autoren: Andreas Kurth, Koen Wolters, Björn Forsberg, Alessandro Capotondi, Andrea Marongiu, Tobias Grosser, Luca Benini
Veröffentlicht in: Proceedings of the 29th International Conference on Compiler Construction, 2020, Seite(n) 119-131, ISBN 9781450371209
Herausgeber: ACM
DOI: 10.1145/3377555.3377891

Fast validation of DRAM protocols with timed petri nets

Autoren: Matthias Jung, Kira Kraft, Taha Soliman, Chirag Sudarshan, Christian Weis, Norbert Wehn
Veröffentlicht in: Proceedings of the International Symposium on Memory Systems, 2019, Seite(n) 133-147, ISBN 9781450372060
Herausgeber: ACM
DOI: 10.1145/3357526.3357556

Extended Bit-Plane Compression for Convolutional Neural Network Accelerators

Autoren: Lukas Cavigelli, Luca Benini
Veröffentlicht in: 2019 IEEE International Conference on Artificial Intelligence Circuits and Systems (AICAS), 2019, Seite(n) 279-283, ISBN 978-1-5386-7884-8
Herausgeber: IEEE
DOI: 10.1109/aicas.2019.8771562

Network-accelerated non-contiguous memory transfers

Autoren: Salvatore Di Girolamo, Konstantin Taranov, Andreas Kurth, Michael Schaffner, Timo Schneider, Jakub Beránek, Maciej Besta, Luca Benini, Duncan Roweth, Torsten Hoefler
Veröffentlicht in: Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, 2019, Seite(n) 1-14, ISBN 9781450362290
Herausgeber: ACM
DOI: 10.1145/3295500.3356189

Quentin: an Ultra-Low-Power PULPissimo SoC in 22nm FDX

Autoren: Pasquale Davide Schiavone, Davide Rossi, Antonio Pullini, Alfio Di Mauro, Francesco Conti, Luca Benini
Veröffentlicht in: 2018 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), 2018, Seite(n) 1-3, ISBN 978-1-5386-7627-1
Herausgeber: IEEE
DOI: 10.1109/s3s.2018.8640145

DStress: Automatic Synthesis of DRAM Reliability Stress Viruses using Genetic Algorithms

Autoren: Lev Mukhanov, Dimitrios S. Nikolopoulos, Georgios Karakonstantis
Veröffentlicht in: 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), 2020, Seite(n) 298-312, ISBN 978-1-7281-7383-2
Herausgeber: IEEE
DOI: 10.1109/micro50266.2020.00035

PHRYCTORIA: A Messaging System for Transprecision OpenCAPI-attached FPGA Accelerators.

Autoren: Dionysios Diamantopoulos; Mitra Purandare; Burkhard Ringlein; Christoph Hagleitner
Veröffentlicht in: 2020 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW), 2020
Herausgeber: IEEE
DOI: 10.1109/ipdpsw50202.2020.00023

Integrating DRAM power-down modes in gem5 and quantifying their impact

Autoren: Radhika Jagtap, Matthias Jung, Wendy Elsasser, Christian Weis, Andreas Hansson, Norbert Wehn
Veröffentlicht in: Proceedings of the International Symposium on Memory Systems - MEMSYS '17, 2017, Seite(n) 86-95, ISBN 9781-450353359
Herausgeber: ACM Press
DOI: 10.1145/3132402.3132444

Using run-time reverse-engineering to optimize DRAM refresh

Autoren: Deepak M. Mathew, Éder F. Zulian, Matthias Jung, Kira Kraft, Christian Weis, Bruce Jacob, Norbert Wehn
Veröffentlicht in: Proceedings of the International Symposium on Memory Systems - MEMSYS '17, 2017, Seite(n) 115-124, ISBN 9781-450353359
Herausgeber: ACM Press
DOI: 10.1145/3132402.3132419

Balanced CSR Sparse Matrix-Vector Product on Graphics Processors

Autoren: Goran Flegar, Enrique S. Quintana-Ortí
Veröffentlicht in: Euro-Par 2017: Parallel Processing: 23rd International Conference on Parallel and Distributed Computing, Santiago de Compostela, Spain, August 28 – September 1, 2017, Proceedings, 2017, Seite(n) 697-709
Herausgeber: Springer International Publishing
DOI: 10.1007/978-3-319-64203-1_50

Impact of temporal subsampling on accuracy and performance in practical video classification

Autoren: F. Scheidegger, L. Cavigelli, M. Schaffner, A. C. I. Malossi, C. Bekas, L. Benini
Veröffentlicht in: 2017 25th European Signal Processing Conference (EUSIPCO), 2017, Seite(n) 996-1000, ISBN 978-0-9928626-7-1
Herausgeber: IEEE
DOI: 10.23919/EUSIPCO.2017.8081357

Variable-Size Batched LU for Small Matrices and Its Integration into Block-Jacobi Preconditioning

Autoren: Hartwig Anzt, Jack Dongarra, Goran Flegar, Enrique S. Quintana-Orti
Veröffentlicht in: 2017 46th International Conference on Parallel Processing (ICPP), 2017, Seite(n) 91-100, ISBN 978-1-5386-1042-8
Herausgeber: IEEE
DOI: 10.1109/ICPP.2017.18

Approximate DIV and SQRT instructions for the RISC-V ISA: An efficiency vs. accuracy analysis

Autoren: Lei Li, Michael Gautschi, Luca Benini
Veröffentlicht in: 2017 27th International Symposium on Power and Timing Modeling, Optimization and Simulation (PATMOS), Ausgabe 27th International Symposium on Power and Timing Modeling, Optimization and Simulation (PATMOS 2017), Thessaloniki, Greece, September 25-27, 2017, 2017, Seite(n) 1-8, ISBN 978-1-5090-6462-5
Herausgeber: IEEE
DOI: 10.1109/PATMOS.2017.8106987

A sub-10mW real-time implementation for EMG hand gesture recognition based on a multi-core biomedical SoC

Autoren: Simone Benatti, Giovanni Rovere, Jonathan Bosser, Fabio Montagna, Elisabetta Farella, Horian Glaser, Philipp Schonle, Thomas Burger, Schekeb Fateh, Qiuting Huang, Luca Benini
Veröffentlicht in: 2017 7th IEEE International Workshop on Advances in Sensors and Interfaces (IWASI), 2017, Seite(n) 139-144, ISBN 978-1-5090-6707-7
Herausgeber: IEEE
DOI: 10.1109/IWASI.2017.7974234

Work-in-Progress: Quantized NNs as the Definitive Solution for Inference on Low-Power ARM MCUs?

Autoren: Manuele Rusci, Alessandro Capotondi, Francesco Conti, Luca Benini
Veröffentlicht in: 2018 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Ausgabe November 2018, 2018, Seite(n) 1-2, ISBN 978-1-5386-5562-7
Herausgeber: IEEE
DOI: 10.1109/CODESISSS.2018.8525915

A Transprecision Floating-Point Architecture for Energy-Efficient Embedded Computing

Autoren: Stefan Mach, Davide Rossi, Giuseppe Tagliavini, Andrea Marongiu, Luca Benini
Veröffentlicht in: 2018 IEEE International Symposium on Circuits and Systems (ISCAS), 2018, Seite(n) 1-5, ISBN 978-1-5386-4881-0
Herausgeber: IEEE
DOI: 10.1109/ISCAS.2018.8351816

The transprecision computing paradigm: Concept, design, and applications

Autoren: A. Cristiano I. Malossi, Michael Schaffner, Anca Molnos, Luca Gammaitoni, Giuseppe Tagliavini, Andrew Emerson, Andres Tomas, Dimitrios S. Nikolopoulos, Eric Flamand, Norbert Wehn
Veröffentlicht in: 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2018, Seite(n) 1105-1110, ISBN 978-3-9819263-0-9
Herausgeber: IEEE
DOI: 10.23919/DATE.2018.8342176

Design Automation for Binarized Neural Networks: A Quantum Leap Opportunity?

Autoren: Manuele Rusci, Lukas Cavigelli, Luca Benini
Veröffentlicht in: 2018 IEEE International Symposium on Circuits and Systems (ISCAS), 2018, Seite(n) 1-5, ISBN 978-1-5386-4881-0
Herausgeber: IEEE
DOI: 10.1109/ISCAS.2018.8351807

A Heterogeneous Cluster with Reconfigurable Accelerator for Energy Efficient Near-Sensor Data Analytics

Autoren: Satyajit Das, Kevin J. M. Martin, Philippe Coussy, Davide Rossi
Veröffentlicht in: 2018 IEEE International Symposium on Circuits and Systems (ISCAS), 2018, Seite(n) 1-5, ISBN 978-1-5386-4881-0
Herausgeber: IEEE
DOI: 10.1109/ISCAS.2018.8351749

A transprecision floating-point platform for ultra-low power computing

Autoren: Giuseppe Tagliavini, Stefan Mach, Davide Rossi, Andrea Marongiu, Luca Benin
Veröffentlicht in: 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2018, Seite(n) 1051-1056, ISBN 978-3-9819263-0-9
Herausgeber: IEEE
DOI: 10.23919/date.2018.8342167

Scalable and Efficient Virtual Memory Sharing in Heterogeneous SoCs with TLB Prefetching and MMU-Aware DMA Engine

Autoren: Andreas Kurth, Pirmin Vogel, Andrea Marongiu, Luca Benini
Veröffentlicht in: 2018 IEEE 36th International Conference on Computer Design (ICCD), 2018, Seite(n) 292-300, ISBN 978-1-5386-8477-1
Herausgeber: IEEE
DOI: 10.1109/iccd.2018.00052

An Energy-Efficient IoT node for HMI applications based on an ultra-low power Multicore Processor

Autoren: Victor Kartsch, Marco Guermandi, Simone Benatti, Fabio Montagna, Luca Benini
Veröffentlicht in: 2019 IEEE Sensors Applications Symposium (SAS), 2019, Seite(n) 1-6, ISBN 978-1-5386-7713-1
Herausgeber: IEEE
DOI: 10.1109/SAS.2019.8705984

NTX: An Energy-efficient Streaming Accelerator for Floating-point Generalized Reduction Workloads in 22 nm FD-SOI

Autoren: Fabian Schuiki, Michael Schaffner, Luca Benini
Veröffentlicht in: 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2019, Seite(n) 662-667, ISBN 978-3-9819263-2-3
Herausgeber: IEEE
DOI: 10.23919/DATE.2019.8715007

Design and Evaluation of SmallFloat SIMD extensions to the RISC-V ISA

Autoren: Giuseppe Tagliavini, Stefan Mach, Davide Rossi, Andrea Marongiu, Luca Benini
Veröffentlicht in: 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2019, Seite(n) 654-657, ISBN 978-3-9819263-2-3
Herausgeber: IEEE
DOI: 10.23919/DATE.2019.8714897

High-Performance GPU Implementation of PageRank with Reduced Precision Based on Mantissa Segmentation

Autoren: Thomas Grutzmacher, Hartwig Anzt, Florian Scheidegger, Enrique S. Quintana-Orti
Veröffentlicht in: 2018 IEEE/ACM 8th Workshop on Irregular Applications: Architectures and Algorithms (IA3), 2018, Seite(n) 61-68, ISBN 978-1-7281-0186-6
Herausgeber: IEEE
DOI: 10.1109/IA3.2018.00015

HelmGemm: Managing GPUs and FPGAs for transprecision GEMM workloads in containerized environments

Autoren: Dionysios Diamantopoulos, Christoph Hagleitner
Veröffentlicht in: 2019
Herausgeber: Cornell University

An In-DRAM Neural Network Processing Engine

Autoren: Chirag Sudarshan, Jan Lappas, Muhammad Mohsin Ghaffar, Vladimir Rybalkin, Christian Weis, Matthias Jung, Norbert Wehn
Veröffentlicht in: 2019 IEEE International Symposium on Circuits and Systems (ISCAS), 2019, Seite(n) 1-5, ISBN 978-1-7281-0397-6
Herausgeber: IEEE
DOI: 10.1109/iscas.2019.8702458

Coherently Attached Programmable Near-Memory Acceleration Platform and its application to Stencil Processing

Autoren: Jan van Lunteren, Ronald Luijten, Dionysios Diamantopoulos, Florian Auernhammer, Christoph Hagleitner, Lorenzo Chelini, Stefano Corda, Gagandeep Singh
Veröffentlicht in: 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2019, Seite(n) 668-673, ISBN 978-3-9819263-2-3
Herausgeber: IEEE
DOI: 10.23919/date.2019.8715088

Low-Power Variation-Aware Cores based on Dynamic Data-Dependent Bitwidth Truncation

Autoren: Ioannis Tsiokanos, Lev Mukhanov, Georgios Karakonstantis
Veröffentlicht in: 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2019, Seite(n) 698-703, ISBN 978-3-9819263-2-3
Herausgeber: IEEE
DOI: 10.23919/date.2019.8714942

A System-Level Transprecision FPGA Accelerator for BLSTM Using On-chip Memory Reshaping

Autoren: Dionysios Diamantopoulos, Christoph Hagleitner
Veröffentlicht in: 2018 International Conference on Field-Programmable Technology (FPT), 2018, Seite(n) 338-341, ISBN 978-1-7281-0214-6
Herausgeber: IEEE
DOI: 10.1109/fpt.2018.00068

Efficient coding scheme for DDR4 memory subsystems

Autoren: Kira Kraft, Deepak M. Mathew, Chirag Sudarshan, Matthias Jung, Christian Weis, Norbert Wehn, Florian Longnos
Veröffentlicht in: Proceedings of the International Symposium on Memory Systems - MEMSYS '18, 2018, Seite(n) 148-157, ISBN 9781-450364751
Herausgeber: ACM Press
DOI: 10.1145/3240302.3240424

Driving into the memory wall - the role of memory for advanced driver assistance systems and autonomous driving

Autoren: Matthias Jung, Sally A. McKee, Chirag Sudarshan, Christoph Dropmann, Christian Weis, Norbert Wehn
Veröffentlicht in: Proceedings of the International Symposium on Memory Systems - MEMSYS '18, 2018, Seite(n) 377-386, ISBN 9781-450364751
Herausgeber: ACM Press
DOI: 10.1145/3240302.3240322

Variation-Aware Pipelined Cores through Path Shaping and Dynamic Cycle Adjustment - Case Study on a Floating-Point Unit

Autoren: Ioannis Tsiokanos, Lev Mukhanov, Dimitrios S. Nikolopoulos, Georgios Karakonstantis
Veröffentlicht in: Proceedings of the International Symposium on Low Power Electronics and Design - ISLPED '18, 2018, Seite(n) 1-6, ISBN 9781-450357043
Herausgeber: ACM Press
DOI: 10.1145/3218603.3218617

Minimization of Timing Failures in Pipelined Designs via Path Shaping and Operand Truncation

Autoren: Ioannis Tsiokanos, Lev Mukhanov, Dimitrios S. Nikolopoulos, Georgios Karakonstantis
Veröffentlicht in: 2018 IEEE 24th International Symposium on On-Line Testing And Robust System Design (IOLTS), 2018, Seite(n) 171-176, ISBN 978-1-5386-5992-2
Herausgeber: IEEE
DOI: 10.1109/iolts.2018.8474084

The Role of Memories in Transprecision Computing

Autoren: Christian Weis, Matthias Jung, Eder F. Zulian, Chirag Sudarshan, Deepak M. Mathew, Norbert Wehn
Veröffentlicht in: 2018 IEEE International Symposium on Circuits and Systems (ISCAS), 2018, Seite(n) 1-5, ISBN 978-1-5386-4881-0
Herausgeber: IEEE
DOI: 10.1109/iscas.2018.8351768

ecTALK: Energy efficient coherent transprecision accelerators — The bidirectional long short-term memory neural network case

Autoren: Dionysios Diamantopoulos, Heiner Giefers, Christoph Hagleitner
Veröffentlicht in: 2018 IEEE Symposium in Low-Power and High-Speed Chips (COOL CHIPS), 2018, Seite(n) 1-3, ISBN 978-1-5386-6103-1
Herausgeber: IEEE
DOI: 10.1109/coolchips.2018.8373077

Fast Blocking of Householder Reflectors on Graphics Processors

Autoren: Andres E. Tomas Dominguez, Enrique S. Quintana Orti
Veröffentlicht in: 2018 26th Euromicro International Conference on Parallel, Distributed and Network-based Processing (PDP), 2018, Seite(n) 385-393, ISBN 978-1-5386-4975-6
Herausgeber: IEEE
DOI: 10.1109/pdp2018.2018.00068

Extending the POWER Architecture with Transprecision Co-Processors

Autoren: Heiner Giefers, Dionysios Diamantopoulos
Veröffentlicht in: 2018 IEEE International Symposium on Circuits and Systems (ISCAS), 2018, Seite(n) 1-5, ISBN 978-1-5386-4881-0
Herausgeber: IEEE
DOI: 10.1109/iscas.2018.8351755

An analysis on retention error behavior and power consumption of recent DDR4 DRAMs

Autoren: Deepak M. Mathew, Martin Schultheis, Carl C. Rheinlander, Chirag Sudarshan, Christian Weis, Norbert Wehn, Matthias Jung
Veröffentlicht in: 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2018, Seite(n) 293-296, ISBN 978-3-9819263-0-9
Herausgeber: IEEE
DOI: 10.23919/date.2018.8342023

Improving the error behavior of DRAM by exploiting its Z-channel property

Autoren: Kira Kraft, Chirag Sudarshan, Deepak M. Mathew, Christian Weis, Norbert Wehn, Matthias Jung
Veröffentlicht in: 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2018, Seite(n) 1492-1495, ISBN 978-3-9819263-0-9
Herausgeber: IEEE
DOI: 10.23919/date.2018.8342249

Reduction to Band Form for the Singular Value Decomposition on Graphics Accelerators

Autoren: Andrés E. Tomás, Rafael Rodríguez-Sánchez, Sandra Catalán, Enrique S. Quintana-Ortí
Veröffentlicht in: Proceedings of the 9th International Workshop on Programming Models and Applications for Multicores and Manycores - PMAM'18, 2018, Seite(n) 51-60, ISBN 9781-450356459
Herausgeber: ACM Press
DOI: 10.1145/3178442.3178448

An Open Source and Open Hardware Deep Learning-Powered Visual Navigation Engine for Autonomous Nano-UAVs

Autoren: Daniele Palossi, Francesco Conti, Luca Benini
Veröffentlicht in: 2019 15th International Conference on Distributed Computing in Sensor Systems (DCOSS), 2019, Seite(n) 604-611, ISBN 978-1-7281-0570-3
Herausgeber: IEEE
DOI: 10.1109/dcoss.2019.00111

Graptor - efficient pull and push style vectorized graph processing

Autoren: Hans Vandierendonck
Veröffentlicht in: Proceedings of the 34th ACM International Conference on Supercomputing, 2020, Seite(n) 1-13, ISBN 9781450379830
Herausgeber: ACM
DOI: 10.1145/3392717.3392753

System simulation with PULP virtual platform and SystemC

Autoren: Éder F. Zulian, Germain Haugou, Christian Weis, Matthias Jung, Norbert Wehn
Veröffentlicht in: Proceedings of the Conference on Rapid Simulation and Performance Evaluation: Methods and Tools, 2020, Seite(n) 1-7, ISBN 9781450377775
Herausgeber: ACM
DOI: 10.1145/3375246.3375256

Half-Precision Floating-Point Formats for PageRank: Opportunities and Challenges

Autoren: Amir Sabbagh Molahosseini, Hans Vandierendonck
Veröffentlicht in: 2020 IEEE High Performance Extreme Computing Conference (HPEC), 2020, Seite(n) 1-7, ISBN 978-1-7281-9219-2
Herausgeber: IEEE
DOI: 10.1109/hpec43674.2020.9286179

CAS-CNN: A deep convolutional neural network for image compression artifact suppression

Autoren: Lukas Cavigelli; Pascal Hager; Luca Benini
Veröffentlicht in: International Joint Conference on Neural Networks (IJCNN), 2017
Herausgeber: IEEE
DOI: 10.1109/ijcnn.2017.7965927

DEFCON: Generating and Detecting Failure-prone Instruction Sequences via Stochastic Search

Autoren: I. Tsiokanos, L. Mukhanov, G. Georgakoudis, D. S. Nikolopoulos , G. Karakonstantis
Veröffentlicht in: Design, Automation and Test in Europe Conference and Exhibition, 2020
Herausgeber: IEEE
DOI: 10.23919/date48585.2020.9116363

HERO: Heterogeneous Embedded Research Platform for Exploring RISC-V Manycore Accelerators on FPGA

Autoren: Andreas Kurth, Pirmin Vogel, Alessandro Capotondi, Andrea Marongiu, Luca Benini
Veröffentlicht in: Proceedings of Computer Architecture Research with RISC-V Workshop, 2017
Herausgeber: ETHz
DOI: 10.3929/ethz-b-000219249

Independent Body-Biasing of P-N Transistors in an 28nm UTBB FD-SOI ULP Near-Threshold Multi-Core Cluster

Autoren: Alfio Di Mauro, Davide Rossi, Antonio Pullini, Philippe Flatresse, Luca Benini
Veröffentlicht in: 2018 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), 2018, Seite(n) 1-3, ISBN 978-1-5386-7627-1
Herausgeber: IEEE
DOI: 10.1109/s3s.2018.8640136

Temporal Variability Analysis in sEMG Hand Grasp Recognition using Temporal Convolutional Networks

Autoren: Marcello Zanghieri; Simone Benatti; Francesco Conti; Alessio Burrello; Luca Benini
Veröffentlicht in: IEEE International Conference on Artificial Intelligence Circuits and Systems (AICAS), 2020, ISBN 978-1-7281-4922-6
Herausgeber: IEEE
DOI: 10.1109/aicas48895.2020.9073888

ATUNs: Modular and Scalable Support for Atomic Operations in a Shared Memory Multiprocessor

Autoren: Andreas Kurth, Samuel Riedel, Florian Zaruba, Torsten Hoefler, Luca Benini
Veröffentlicht in: 2020 57th ACM/IEEE Design Automation Conference (DAC), 2020, Seite(n) 1-6, ISBN 978-1-7281-1085-1
Herausgeber: IEEE
DOI: 10.1109/dac18072.2020.9218661

Constrained deep neural network architecture search for IoT devices accounting for hardware calibration

Autoren: Florian Scheidegger, Luca Benini, Costas Bekas, Cristiano Malossi
Veröffentlicht in: 2019
Herausgeber: Curran
DOI: 10.13039/501100000780

AIR: Iterative refinement acceleration using arbitrary dynamic precision

Autoren: JunKyu Lee, Gregory D. Peterson, Dimitrios S. Nikolopoulos, Hans Vandierendonck
Veröffentlicht in: Parallel Computing, Ausgabe 97, 2020, Seite(n) 102663, ISSN 0167-8191
Herausgeber: Elsevier BV
DOI: 10.1016/j.parco.2020.102663

ExHero: Execution History-aware Error-rate Estimation in Pipelined Designs

Autoren: Ioannis Tsiokanos, Georgios Karakonstantis
Veröffentlicht in: IEEE Micro, 2020, Seite(n) 1-1, ISSN 0272-1732
Herausgeber: Institute of Electrical and Electronics Engineers
DOI: 10.1109/mm.2020.3012045

Efficient Hardware Architectures for 1D- and MD-LSTM Networks

Autoren: Vladimir Rybalkin, Chirag Sudarshan, Christian Weis, Jan Lappas, Norbert Wehn , Li Cheng
Veröffentlicht in: Journal of Signal Processing Systems, 2020, ISSN 0920-8542
Herausgeber: Kluwer Academic Publishers
DOI: 10.1007/s11265-020-01554-x

Thermodynamic reversible transformations in micro-electro-mechanical systems

Autoren: Igor Neri, Miquel López-Suárez
Veröffentlicht in: The European Physical Journal B, Ausgabe 91/6, 2018, ISSN 1434-6028
Herausgeber: Springer Verlag
DOI: 10.1140/epjb/e2018-80632-9

Fundamental Limits in Dissipative Processes during Computation

Autoren: Davide Chiucchiú, Maria Cristina Diamantini, Miquel López-Suárez, Igor Neri, Luca Gammaitoni
Veröffentlicht in: Entropy, Ausgabe 21/9, 2019, Seite(n) 822, ISSN 1099-4300
Herausgeber: Multidisciplinary Digital Publishing Institute (MDPI)
DOI: 10.3390/e21090822

An IoT Endpoint System-on-Chip for Secure and Energy-Efficient Near-Sensor Analytics

Autoren: Francesco Conti, Robert Schilling, Pasquale Davide Schiavone, Antonio Pullini, Davide Rossi, Frank Kagan Gurkaynak, Michael Muehlberghuber, Michael Gautschi, Igor Loi, Germain Haugou, Stefan Mangard, Luca Benini
Veröffentlicht in: IEEE Transactions on Circuits and Systems I: Regular Papers, Ausgabe 64/9, 2017, Seite(n) 2481-2494, ISSN 1549-8328
Herausgeber: Institute of Electrical and Electronics Engineers
DOI: 10.1109/TCSI.2017.2698019

Flexible, Scalable and Energy Efficient Bio-Signals Processing on the PULP Platform: A Case Study on Seizure Detection

Autoren: Fabio Montagna, Simone Benatti, Davide Rossi
Veröffentlicht in: Journal of Low Power Electronics and Applications, Ausgabe 7/2, 2017, Seite(n) 16, ISSN 2079-9268
Herausgeber: Multidisciplinary Digital Publishing Institute (MDPI)
DOI: 10.3390/jlpea7020016

A machine learning approach for automated wide-range frequency tagging analysis in embedded neuromonitoring systems

Autoren: Fabio Montagna, Marco Buiatti, Simone Benatti, Davide Rossi, Elisabetta Farella, Luca Benini
Veröffentlicht in: Methods, Ausgabe 129, 2017, Seite(n) 96-107, ISSN 1046-2023
Herausgeber: Academic Press
DOI: 10.1016/j.ymeth.2017.06.019

A Prosthetic Hand Body Area Controller Based on Efficient Pattern Recognition Control Strategies

Autoren: Simone Benatti, Bojan Milosevic, Elisabetta Farella, Emanuele Gruppioni, Luca Benini
Veröffentlicht in: Sensors, Ausgabe 17/4, 2017, Seite(n) 869, ISSN 1424-8220
Herausgeber: Multidisciplinary Digital Publishing Institute (MDPI)
DOI: 10.3390/s17040869

"A 2.2-<inline-formula> <tex-math notation=""LaTeX"">$\mu$ </tex-math> </inline-formula>W Cognitive Always-On Wake-Up Circuit for Event-Driven Duty-Cycling of IoT Sensor Nodes"

Autoren: Giovanni Rovere, Schekeb Fateh, Luca Benini
Veröffentlicht in: IEEE Journal on Emerging and Selected Topics in Circuits and Systems, Ausgabe 8/3, 2018, Seite(n) 543-554, ISSN 2156-3357
Herausgeber: IEEE Circuits and Systems Society
DOI: 10.1109/JETCAS.2018.2828505

Look-ahead in the two-sided reduction to compact band forms for symmetric eigenvalue problems and the SVD

Autoren: Rafael Rodríguez-Sánchez, Sandra Catalán, José R. Herrero, Enrique S. Quintana-Ortí, Andrés E. Tomás
Veröffentlicht in: Numerical Algorithms, Ausgabe 80/2, 2019, Seite(n) 635-660, ISSN 1017-1398
Herausgeber: Baltzer Science Publishers B.V.
DOI: 10.1007/s11075-018-0500-8

FlexFloat: A Software Library for Transprecision Computing

Autoren: Giuseppe Tagliavini, Andrea Marongiu, Luca Benini
Veröffentlicht in: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Ausgabe December 2018, 2018, Seite(n) 1-1, ISSN 0278-0070
Herausgeber: Institute of Electrical and Electronics Engineers
DOI: 10.1109/TCAD.2018.2883902

NEURA ghe

Autoren: Paolo Meloni, Alessandro Capotondi, Gianfranco Deriu, Michele Brian, Francesco Conti, Davide Rossi, Luigi Raffo, Luca Benini
Veröffentlicht in: ACM Transactions on Reconfigurable Technology and Systems, Ausgabe 11/3, 2018, Seite(n) 1-24, ISSN 1936-7406
Herausgeber: Association for Computing Machinery (ACM)
DOI: 10.1145/3284357

An Energy-Efficient Integrated Programmable Array Accelerator and Compilation flow for Near-Sensor Ultra-low Power Processing

Autoren: Satyajit Das, Kevin J. M. Martin, Davide Rossi, Philippe Coussy, Luca Benini
Veröffentlicht in: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2018, Seite(n) 1-1, ISSN 0278-0070
Herausgeber: Institute of Electrical and Electronics Engineers
DOI: 10.1109/TCAD.2018.2834397

A Scalable Near-Memory Architecture for Training Deep Neural Networks on Large In-Memory Datasets

Autoren: Fabian Schuiki, Michael Schaffner, Frank K. Gurkaynak, Luca Benini
Veröffentlicht in: IEEE Transactions on Computers, Ausgabe 68/4, 2019, Seite(n) 484-497, ISSN 0018-9340
Herausgeber: Institute of Electrical and Electronics Engineers
DOI: 10.1109/tc.2018.2876312

The Quest for Energy-Efficient I$ Design in Ultra-Low-Power Clustered Many-Cores

Autoren: Igor Loi, Alessandro Capotondi, Davide Rossi, Andrea Marongiu, Luca Benini
Veröffentlicht in: IEEE Transactions on Multi-Scale Computing Systems, Ausgabe 4/2, 2018, Seite(n) 99-112, ISSN 2332-7766
Herausgeber: IEEE
DOI: 10.1109/TMSCS.2017.2769046

A Hybrid Instruction Prefetching Mechanism for Ultra Low-Power Multicore Clusters

Autoren: Maryam Payami, Erfan Azarkhish, Igor Loi, Luca Benini
Veröffentlicht in: IEEE Embedded Systems Letters, Ausgabe 9/4, 2017, Seite(n) 125-128, ISSN 1943-0663
Herausgeber: Institute of Electrical and Electronics Engineers
DOI: 10.1109/LES.2017.2707978

A sensor fusion approach for drowsiness detection in wearable ultra-low-power systems

Autoren: Victor Javier Kartsch, Simone Benatti, Pasquale Davide Schiavone, Davide Rossi, Luca Benini
Veröffentlicht in: Information Fusion, Ausgabe 43, 2018, Seite(n) 66-76, ISSN 1566-2535
Herausgeber: Elsevier BV
DOI: 10.1016/j.inffus.2017.11.005

Cost of remembering a bit of information

Autoren: D. Chiuchiù, M. López-Suárez, I. Neri, M. C. Diamantini, L. Gammaitoni
Veröffentlicht in: Physical Review A, Ausgabe 97/5, 2018, Seite(n) Phys. Rev. A 97, 052108, ISSN 2469-9926
Herausgeber: American Physical Society
DOI: 10.1103/PhysRevA.97.052108

Neurostream: Scalable and Energy Efficient Deep Learning with Smart Memory Cubes

Autoren: Erfan Azarkhish, Davide Rossi, Igor Loi, Luca Benini
Veröffentlicht in: IEEE Transactions on Parallel and Distributed Systems, Ausgabe 29/2, 2018, Seite(n) 420-434, ISSN 1045-9219
Herausgeber: Institute of Electrical and Electronics Engineers
DOI: 10.1109/TPDS.2017.2752706

Hyperdrive: A Multi-Chip Systolically Scalable Binary-Weight CNN Inference Engine

Autoren: Renzo Andri, Lukas Cavigelli, Davide Rossi, Luca Benini
Veröffentlicht in: IEEE Journal on Emerging and Selected Topics in Circuits and Systems, Ausgabe 9/2, 2019, Seite(n) 309-322, ISSN 2156-3357
Herausgeber: IEEE Circuits and Systems Society
DOI: 10.1109/JETCAS.2019.2905654

Online Learning and Classification of EMG-Based Gestures on a Parallel Ultra-Low Power Platform Using Hyperdimensional Computing

Autoren: Simone Benatti, Fabio Montagna, Victor Kartsch, Abbas Rahimi, Davide Rossi, Luca Benini
Veröffentlicht in: IEEE Transactions on Biomedical Circuits and Systems, Ausgabe 13/3, 2019, Seite(n) 516-528, ISSN 1932-4545
Herausgeber: Institute of Electrical and Electronics Engineers
DOI: 10.1109/tbcas.2019.2914476

Toward a modular precision ecosystem for high-performance computing

Autoren: Hartwig Anzt, Goran Flegar, Thomas Grützmacher, Enrique S Quintana-Ortí
Veröffentlicht in: The International Journal of High Performance Computing Applications, 2019, Seite(n) 109434201984654, ISSN 1094-3420
Herausgeber: SAGE Publications
DOI: 10.1177/1094342019846547

Mr.Wolf: An Energy-Precision Scalable Parallel Ultra Low Power SoC for IoT Edge Processing

Autoren: Antonio Pullini, Davide Rossi, Igor Loi, Giuseppe Tagliavini, Luca Benini
Veröffentlicht in: IEEE Journal of Solid-State Circuits, Ausgabe 54/7, 2019, Seite(n) 1970-1981, ISSN 0018-9200
Herausgeber: Institute of Electrical and Electronics Engineers
DOI: 10.1109/jssc.2019.2912307

Significance-Driven Data Truncation for Preventing Timing Failures

Autoren: Ioannis Tsiokanos, Lev Mukhanov, Dimitrios S. Nikolopoulos, Georgios Karakonstantis
Veröffentlicht in: IEEE Transactions on Device and Materials Reliability, Ausgabe 19/1, 2019, Seite(n) 25-36, ISSN 1530-4388
Herausgeber: Institute of Electrical and Electronics Engineers
DOI: 10.1109/tdmr.2019.2898949

Dynamic look-ahead in the reduction to band form for the singular value decomposition

Autoren: Andrés E. Tomás, Rafael Rodríguez-Sánchez, Sandra Catalán, Rocío Carratalá-Sáez, Enrique S. Quintana-Ortí
Veröffentlicht in: Parallel Computing, Ausgabe 81, 2019, Seite(n) 22-31, ISSN 0167-8191
Herausgeber: Elsevier BV
DOI: 10.1016/j.parco.2018.11.001

Adaptive precision in block-Jacobi preconditioning for iterative sparse linear system solvers

Autoren: Hartwig Anzt, Jack Dongarra, Goran Flegar, Nicholas J. Higham, Enrique S. Quintana-Ortí
Veröffentlicht in: Concurrency and Computation: Practice and Experience, Ausgabe 31/6, 2019, Seite(n) e4460, ISSN 1532-0626
Herausgeber: John Wiley & Sons Inc.
DOI: 10.1002/cpe.4460

Variable-size batched Gauss–Jordan elimination for block-Jacobi preconditioning on graphics processors

Autoren: Hartwig Anzt, Jack Dongarra, Goran Flegar, Enrique S. Quintana-Ortí
Veröffentlicht in: Parallel Computing, Ausgabe 81, 2019, Seite(n) 131-146, ISSN 0167-8191
Herausgeber: Elsevier BV
DOI: 10.1016/j.parco.2017.12.006

Energy-Efficient Iterative Refinement Using Dynamic Precision

Autoren: JunKyu Lee, Hans Vandierendonck, Mahwish Arif, Gregory D. Peterson, Dimitrios S. Nikolopoulos
Veröffentlicht in: IEEE Journal on Emerging and Selected Topics in Circuits and Systems, Ausgabe 8/4, 2018, Seite(n) 722-735, ISSN 2156-3357
Herausgeber: IEEE Circuits and Systems Society
DOI: 10.1109/jetcas.2018.2850665

Tall-and-skinny QR factorization with approximate Householder reflectors on graphics processors

Autoren: Andrés E. Tomás, Enrique S. Quintana-Ortí
Veröffentlicht in: The Journal of Supercomputing, Ausgabe 76/11, 2020, Seite(n) 8771-8786, ISSN 0920-8542
Herausgeber: Kluwer Academic Publishers
DOI: 10.1007/s11227-020-03176-3

FloatX: A C++ Library for Customized Floating-Point Arithmetic

Autoren: Goran Flegar; Florian Scheidegger; Vedran Novakovic; Giovani Mariani; A. E. Tomás; A. Cristiano M. Malossi, Enrique S. Quintana-Orti
Veröffentlicht in: ACM Trans. Mathematica Software, 2019, ISSN 0272-1732
Herausgeber: Institute of Electrical and Electronics Engineers
DOI: 10.1109/vlsi-soc.2019.8920307

Thermodynamic reversible transformations in micro-electro- mechanical systems

Autoren: Igor Neri, Miquel Lopez-Suarez
Veröffentlicht in: The European Physical Journal B volume, 2018, ISSN 0920-8542
Herausgeber: Kluwer Academic Publishers
DOI: 10.1140/epjb/e2018-80632-9

Injective Domain Knowledge in Neural Networks for Transprecision Computing

Autoren: Andrea Borghesi, Federico Baldo, Michele Lombardi, Michela Milano
Veröffentlicht in: Machine Learning, Optimization, and Data Science - 6th International Conference, LOD 2020, Siena, Italy, July 19–23, 2020, Revised Selected Papers, Part I, Ausgabe 12565, 2020, Seite(n) 587-600, ISBN 978-3-030-64582-3
Herausgeber: Springer International Publishing
DOI: 10.1007/978-3-030-64583-0_52

Cholesky and Gram-Schmidt Orthogonalization for Tall-and-Skinny QR Factorizations on Graphics Processors

Autoren: Andrés E. Tomás, Enrique S. Quintana-Ortí
Veröffentlicht in: Euro-Par 2019: Parallel Processing - 25th International Conference on Parallel and Distributed Computing, Göttingen, Germany, August 26–30, 2019, Proceedings, Ausgabe 11725, 2019, Seite(n) 469-480, ISBN 978-3-030-29399-4
Herausgeber: Springer International Publishing
DOI: 10.1007/978-3-030-29400-7_33

RRAMSpec: A Design Space Exploration Framework for High Density Resistive RAM

Autoren: Deepak M. Mathew, André Lucas Chinazzo, Christian Weis, Matthias Jung, Bastien Giraud, Pascal Vivet, Alexandre Levisse, Norbert Wehn
Veröffentlicht in: Embedded Computer Systems: Architectures, Modeling, and Simulation - 19th International Conference, SAMOS 2019, Samos, Greece, July 7–11, 2019, Proceedings, Ausgabe 11733, 2019, Seite(n) 34-47, ISBN 978-3-030-27561-7
Herausgeber: Springer International Publishing
DOI: 10.1007/978-3-030-27562-4_3

A Lean, Low Power, Low Latency DRAM Memory Controller for Transprecision Computing

Autoren: Chirag Sudarshan, Jan Lappas, Christian Weis, Deepak M. Mathew, Matthias Jung, Norbert Wehn
Veröffentlicht in: Embedded Computer Systems: Architectures, Modeling, and Simulation - 19th International Conference, SAMOS 2019, Samos, Greece, July 7–11, 2019, Proceedings, Ausgabe 11733, 2019, Seite(n) 429-441, ISBN 978-3-030-27561-7
Herausgeber: Springer International Publishing
DOI: 10.1007/978-3-030-27562-4_31

Residual Replacement in Mixed-Precision Iterative Refinement for Sparse Linear Systems

Autoren: Hartwig Anzt, Goran Flegar, Vedran Novaković, Enrique S. Quintana-Ortí, Andrés E. Tomás
Veröffentlicht in: High Performance Computing - ISC High Performance 2018 International Workshops, Frankfurt/Main, Germany, June 28, 2018, Revised Selected Papers, Ausgabe 11203, 2018, Seite(n) 554-561, ISBN 978-3-030-02464-2
Herausgeber: Springer International Publishing
DOI: 10.1007/978-3-030-02465-9_39

Low Precision Processing for High Order Stencil Computations

Autoren: Gagandeep Singh, Dionysios Diamantopoulos, Sander Stuijk, Christoph Hagleitner, Henk Corporaal
Veröffentlicht in: Embedded Computer Systems: Architectures, Modeling, and Simulation - 19th International Conference, SAMOS 2019, Samos, Greece, July 7–11, 2019, Proceedings, Ausgabe 11733, 2019, Seite(n) 403-415, ISBN 978-3-030-27561-7
Herausgeber: Springer International Publishing
DOI: 10.1007/978-3-030-27562-4_29

The Cost of Remembering

Autoren: Luca Gammaitoni, Igor Neri, Miquel López-Suárez, Davide Chiuchiù, Maria Cristina Diamantini
Veröffentlicht in: Proceedings of the 5th International Conference on Applications in Nonlinear Dynamics, 2019, Seite(n) 1-8, ISBN 978-3-030-10891-5
Herausgeber: Springer International Publishing
DOI: 10.1007/978-3-030-10892-2_1

Suche nach OpenAIRE-Daten ...

Bei der Suche nach OpenAIRE-Daten ist ein Fehler aufgetreten

Es liegen keine Ergebnisse vor