European Commission logo
français français
CORDIS - Résultats de la recherche de l’UE
CORDIS

Technology Advances for Pilotline of Enhanced Semiconductors for 3nm

Publications

Perspectives and tradeoffs of absorber materials for high NA EUV lithography

Auteurs: Andreas Erdmann, Hazem Mesilhy, Peter Evanschitzky, Vicky Philipsen, Frank Timmermans, Markus Bauer
Publié dans: Journal of Micro/Nanolithography, MEMS, and MOEMS, Numéro 19/04, 2020, ISSN 1932-5150
Éditeur: S P I E - International Society for Optical Engineering
DOI: 10.1117/1.jmm.19.4.041001

Very-High Dynamic Range, 10,000 Frames/Second Pixel Array Detector for Electron Microscopy

Auteurs: Hugh T. Philipp, Mark W. Tate, Katherine S. Shanks, Luigi Mele, Maurice Peemen, Pleun Dona, Reinout Hartong, Gerard van Veen, Yu-Tsun Shao, Zhen Chen, Julia Thom-Levy, David A. Muller, Sol M. Gruner
Publié dans: Microscopy and Microanalysis, Numéro Volume 28, Numéro 2, March 2022, 2022, ISSN 1431-9276
Éditeur: Cambridge University Press
DOI: 10.1017/s1431927622000174

Dynamics of crosshatch patterns in heteroepitaxy

Auteurs: Fabrizio Rovaris, Marvin H. Zoellner, Peter Zaumseil, Anna Marzegalli, Luciana Di Gaspare, Monica De Seta, Thomas Schroeder, Peter Storck, Georg Schwalb, Giovanni Capellini, and Francesco Montalenti
Publié dans: PHYSICAL REVIEW B, Numéro Phys. Rev. B 100, 085307, 2019, ISSN 2469-9969
Éditeur: American Physical Society
DOI: 10.1103/physrevb.100.085307

Controlling the relaxation mechanism of low strain Si1−xGex/Si(001) layers and reducing the threading dislocation density by providing a preexisting dislocation source

Auteurs: L. Becker, P. Storck, T. Schulz, M. H. Zoellner, L. Di Gaspare, F. Rovaris, A. Marzegalli, F. Montalenti, M. De Seta, G. Capellini, G. Schwalb, T. Schroeder, M. Albrecht
Publié dans: Journal of Applied Physics, Numéro Journal of Applied Physics 128, 215305 (2020), 2020, ISSN 0021-8979
Éditeur: American Institute of Physics
DOI: 10.1063/5.0032454

SALELE process from theory to fabrication

Auteurs: Youssef Drissi, Werner Gillijns, Jae Uk Lee, Ryan Ryoung han Kim, Ahmed Hamed Fatehy, Rehab K. Ali, Rajiv Sejpal, Germain Fenger, James Word
Publié dans: Design-Process-Technology Co-optimization for Manufacturability XIII, 2019, Page(s) 30, ISBN 9781510625723
Éditeur: SPIE
DOI: 10.1117/12.2517051

Multi-patterning strategies for navigating the sub-5 nm frontier, part 2

Auteurs: Jae Uk Lee, Ryoung-han Kim, David Abercrombie, Rehab Kotb Ali, Ahmed Hamed-Fatehy
Publié dans: 2019
Éditeur: EDN Network

Study of EUV reticle storage effects through exposure on EBL2 and NXE

Auteurs: Rik Jonckheere, Chien-Ching Wu, Veronique de Rooij-Lohmann, Dorus Elstgeest, Henk Lensen, Philipp Hoenicke, Michael Kolbe, Victor Soltwisch, Claudia Zech, Frank Scholze, Remko Aubert, Vineet Vijayakrishnan Nair, Eric Hendrickx
Publié dans: Extreme Ultraviolet Lithography 2020, 2020, Page(s) 28, ISBN 9781510638433
Éditeur: SPIE
DOI: 10.1117/12.2573125

Better prediction on patterning failure mode with hotspot aware OPC modeling

Auteurs: Chih-I Wei, Stewart Wu, Yunfei Deng, Gurdaman Khaira, Ir Kusnadi, Germain Fenger, Seulki Kang, Yosuke Okamoto, Kotaro Maruyama, Yuichiro Yamazaki, Sayantan Das, Sandip Halder, Werner Gillijns, Gian Lorusso
Publié dans: Metrology, Inspection, and Process Control for Semiconductor Manufacturing XXXV, 2021, Page(s) 32, ISBN 9781510640566
Éditeur: SPIE
DOI: 10.1117/12.2583837

Additional real-time diagnostics on the EBL2 EUV exposure facility

Auteurs: Peter van der Walle, Jetske K. Stortelder, Chien-Ching Wu, Henk Lensen, Norbert B. Koster
Publié dans: Extreme Ultraviolet (EUV) Lithography XI, 2020, Page(s) 66, ISBN 9781510634145
Éditeur: SPIE
DOI: 10.1117/12.2552011

Optical design of EUV attenuated PSM for contact-hole applications

Auteurs: Chang-Nam Ahn, Dong-Seok Nam, Nakgeuon Seong, Anthony Yen
Publié dans: SPIE Advanced Lithography, Numéro Proceedings Volume 11609, Extreme Ultraviolet (EUV) Lithography XII; 116090D (2021), 2021
Éditeur: SPIE
DOI: 10.1117/12.2583462

Exploring patterning limit and enhancement techniques to improve printability of 2D shapes at 3nm node

Auteurs: Rehab Kotb Ali, Ahmed Hamed Fatehy, James Word
Publié dans: Design-Process-Technology Co-optimization for Manufacturability XIV, 2020, Page(s) 33, ISBN 9781510634244
Éditeur: SPIE
DOI: 10.1117/12.2552091

Multi-patterning strategies for navigating the sub-5 nm frontier, part 1

Auteurs: Jae Uk Lee, Ryoung-han Kim, David Abercrombie, Rehab Kotb Ali, Ahmed Hamed-Fatehy
Publié dans: 2019
Éditeur: EDN Network

Probability prediction of EUV process failure due to resist-exposure stochastic: applications of Gaussian random fields excursions and Rice's formula

Auteurs: Azat Latypov, Gurdaman Khaira, Germain L. Fenger, John L. Sturtevant, Chih-I Wei, Peter De Bisschop
Publié dans: Extreme Ultraviolet (EUV) Lithography XI, 2020, Page(s) 17, ISBN 9781510634145
Éditeur: SPIE
DOI: 10.1117/12.2551965

Investigation into a prototype EUV attenuated phase-shift mask

Auteurs: Claire van Lare, Frank Timmermans, Jo Finders, Olena Romanets, Cheuk-Wah Man, Paul van Adrichem, Yohei Ikebe, Takeshi Aizawa, Takahiro Onoue
Publié dans: SPIE Advanced Lithography, Numéro Proceedings Volume 11609, Extreme Ultraviolet (EUV) Lithography XII; 116090A (2021), 2021
Éditeur: SPIE
DOI: 10.1117/12.2584725

Mask absorber for next generation EUV lithography

Auteurs: Meiyi Wu, Devesh Thakare, Jean-François de Marneffe, Patrick Jaenen, Laurent Souriau, Karl Opsomer, Jean-Philippe Soulié, Andreas Erdmann, Hazem Mesilhy, Philipp Naujok, Markus Foltin, Victor Soltwisch, Qais Saadeh, Vicky Philipsen
Publié dans: Extreme Ultraviolet Lithography 2020, 2020, Page(s) 2, ISBN 9781510638433
Éditeur: SPIE
DOI: 10.1117/12.2572114

High-NA EUV lithography optics becomes reality

Auteurs: Lars Wischmeier, Paul Gräupner, Peter Kürz, Winfried Kaiser, Jan Van Schoot, Jörg Mallmann, Joost de Pee, Judon Stoeldraijer
Publié dans: Extreme Ultraviolet (EUV) Lithography XI, 2020, Page(s) 4, ISBN 9781510634145
Éditeur: SPIE
DOI: 10.1117/12.2543308

High-NA EUV Lithography Exposure Tool - Advantages and Program Progress

Auteurs: Jan van Schoot, Eelco van Setten, Ruben Maas, Kars Troost, Jo Finders, Sjoerd Lok, Rudy Peeters, Judon Stoeldraijer, Jos Benschop, Paul Graeupner, Peter Kuerz, Winfried Kaiser
Publié dans: 2020
Éditeur: EUVL Workshop 2020

High-NA EUV Optics – The key for miniaturization of integrated circuits in the next decade

Auteurs: Heiko Feldmann, Paul Gräupner, Peter Kürz, Winfried Kaiser
Publié dans: 2019
Éditeur: ESSCIRC/ESSDERC 2019, Cracow, Poland

Realizing more accurate OPC models by utilizing SEM contours

Auteurs: Chih-I Wei, Rajiv Sejpal, Yunfei Deng, Ir Kusnadi, Germain Fenger, Masahiro Oya, Yosuke Okamoto, Kotaro Maruyama, Yuichiro Yamazaki, Sayantan Das, Sandip Halder, Werner Gillijns
Publié dans: Metrology, Inspection, and Process Control for Microlithography XXXIV, 2020, Page(s) 2, ISBN 9781510634183
Éditeur: SPIE
DOI: 10.1117/12.2554527

Characterization and Mitigation of 3D Mask Effects in Extreme Ultraviolet Lithography

Auteurs: ] Erdmann, A., Xu, D., Evanschitzky, P., Philipsen, V., Luong, V., Hendrickx, E.
Publié dans: 2017
Éditeur: Advanced Optical Technologies 6

High-NA EUV Lithography Exposure System - Advantages and Program Progress

Auteurs: Jan van Schoot, Sjoerd Lok, Eelco van Setten, Ruben Maas, Kars Troost, Rudy Peeters, Jo Finders, Judon Stoeldraijer, Jos Benschop, Paul Graeupner, Peter Kuerz, Winfried Kaiser
Publié dans: 2020
Éditeur: SPIE

Self-aligned block technology: a step toward further scaling

Auteurs: Frédéric Lazzarino, Nihar Mohanty, Yannick Feurprier, Lior Huli, Vinh Luong, Marc Demand, Stefan Decoster, Victor Vega Gonzalez, Julien Ryckaert, Ryan Ryoung Han Kim, Arindam Mallik, Philippe Leray, Chris Wilson, Jürgen Boemmels, Kaushik Kumar, Kathleen Nafus, Anton deVilliers, Jeffrey Smith, Carlos Fonseca, Julie Bannister, Steven Scheer, Zsolt Tokei, Daniele Piumi, Kathy Barla
Publié dans: Advanced Etch Technology for Nanopatterning VI, 2017, Page(s) 1014908
Éditeur: SPIE
DOI: 10.1117/12.2258028

First results of EUV-scanner compatibility tests performed on novel 'high-NA' reticle absorber materials

Auteurs: Jetske Stortelder, Robert P. Ebeling, Corné Rijnsent, Michel van Putten, Veronique de Rooij-Lohmann, Maximilian Smit, Arnold J. Storm, Norbert Koster, Henk A. Lensen, Vicky Philipsen, Karl Opsomer, Devesh Thakare, Torsten Feigl, Philipp Naujok
Publié dans: International Conference on Extreme Ultraviolet Lithography 2021, 2021, Page(s) 19, ISBN 9781510645530
Éditeur: SPIE
DOI: 10.1117/12.2600928

Techniques for SAMP cut/block mask design decomposition

Auteurs: BY JAE UK LEE, RYOUNG HAN KIM, DAVID ABERCROMBIE, AND REHAB KOTB ALI
Publié dans: 2021
Éditeur: EDN.com

Progress in High NA EUV Optics Development of sub-10nm resolution

Auteurs: Lars Wischmeier
Publié dans: 2020
Éditeur: ESSCIRC/ESSDERC 2020, Dresden, Germany

High NA EUV optics: preparing lithography for the next big step

Auteurs: Paul Graeupner
Publié dans: 2021
Éditeur: ESSCIRC/ESSDERC 2021, Grenoble, France

Multi-patterning strategies for navigating the sub-5 nm frontier, part 3

Auteurs: Jae Uk Lee, Ryoung-han Kim, David Abercrombie, Rehab Kotb Ali, Ahmed Hamed-Fatehy
Publié dans: 2020
Éditeur: EDN.com

Comparing multi-patterning at 5nm: SADP, SAQP, and SALELE

Auteurs: Jae Uk Lee, Apoorva Oak, Ryoung Han Kim, David Abercrombie, Rehab Kotb Ali, Ahmed Hamed-Fatehy
Publié dans: 2019
Éditeur: Siemens

High-NA EUV Optics –the Key for Miniaturizationof Integrated Circuitsin the Next Decade

Auteurs: Bartosz Bilski, Heiko Feldmann, Paul Gräupner, Peter Kürz, Winfried Kaiser
Publié dans: 2019
Éditeur: ESSCIRC/ESSDERC 2019, Cracow, Poland

VLSI Keynote: EUVL - Optics: Status and Future Perspectives

Auteurs: Winfried Kaiser
Publié dans: 2019
Éditeur: VLSI-TSA 2019

Optics for EUV Lithography

Auteurs: Sascha Migura
Publié dans: 2019
Éditeur: EUVL Workshop

Self-aligned multi-patterning Track decomposition techniques

Auteurs: Jae Uk Lee, David Abercrombie, Rehab Kotb Ali
Publié dans: 2020
Éditeur: Siemens

Mask absorber development to enable next-generation EUVL

Auteurs: Philipsen, V., Luong, K.V., Opsomer, K., Souriau, L., Rip, J., Detavernier, C., Erdmann, A., Evanschitzky, P., Laubis, C., Hönicke, Ph., Soltwisch, V., Hendrickx, E.
Publié dans: SPIE DIGITAL LIBRARY, 2019
Éditeur: SPIE

Sub-40mV Sigma-VTH IGZO nFETs in 300mm Fab

Auteurs: Jerome Mitard, Luka Kljucar, Nouredine Rassoul, Harold F. W. Dekkers, Michiel van Setten, Adrian Chasin, Geoffrey Pourtois, Attilio Belmonte, Romain Delhougne, Gabriele Luca Donadio, Ludovic Goux, Manoj Nag, Chris Wilson, Zsolt Tokei, Jose Ignacio del agua Borniquel, Soeren Steudel and Gouri Sankar Kar
Publié dans: ECS Transactions, Numéro 98 (7), 2020, Page(s) 205-217
Éditeur: The Electrochemical Society

Implementation of different cost functions for EUV mask optimization for next generation beyond 7nm

Auteurs: Fan Jiang, Alexander Tritchkov, Alex Wei, Srividya Jayaram, Yuyang Sun, Xima Zhang, and James Word
Publié dans: SPIE DIGITAL LIBRARY, 2019
Éditeur: SPIE

Reducing extreme ultraviolet mask three-dimensional effects by alternative metal absorbers

Auteurs: Philipsen, V., Luong, K.V., Souriau, L., Erdmann, A., Evanschitzky, P., van de Kruijs, R.W. E., Edrisi, A., Scholze, F., Laubis, C., Irmscher, M., Naasz, S., Reuter, C., Hendrickx, E.
Publié dans: Journal of Micro/Nanolithography MEMS and MOEMS 16, 2017
Éditeur: Journal of Micro/Nanolithography MEMS and MOEMS 16

Droits de propriété intellectuelle

SCHWINGUNGSTILGER, OPTISCHES SYSTEM UND LITHOGRAPHIEANLAGE

Numéro de demande/publication: 10 2020201041
Date: 2020-01-29
Demandeur(s): CARL ZEISS SMT GMBH

METHOD AND LITHOGRAPH APPARATUS FOR MEASURING A RADIATION BEAM

Numéro de demande/publication: 20 20052250
Date: 2020-01-30

GAS MIXING FOR FAST TEMPERATURE CONTROL OF A COOLING HOOD

Numéro de demande/publication: 20 19076001
Date: 2019-09-26

Verfahren zur Korrektur einer Baugruppe einer Mikrolithographieanlage

Numéro de demande/publication: 10 2019215990
Date: 2019-10-17
Demandeur(s): CARL ZEISS SMT GMBH

A LITHOGRAPHIC APPARATUS AND COOLING APPARATUS

Numéro de demande/publication: 20 19063706
Date: 2019-05-28

RADIATION FILTER FOR A RADIATION SENSOR

Numéro de demande/publication: 20 19075125
Date: 2019-09-19

SYSTEM AND METHOD FOR SIMULTANEOUS PHASE CONTRAST IMAGING AND ELECTRON ENERGY-LOSS SPECTROSCOPY

Numéro de demande/publication: 21 192493
Date: 2021-08-23
Demandeur(s): FEI ELECTRON OPTICS BV

Recherche de données OpenAIRE...

Une erreur s’est produite lors de la recherche de données OpenAIRE

Aucun résultat disponible