European Commission logo
polski polski
CORDIS - Wyniki badań wspieranych przez UE
CORDIS

Technology Advances for Pilotline of Enhanced Semiconductors for 3nm

Publikacje

Perspectives and tradeoffs of absorber materials for high NA EUV lithography

Autorzy: Andreas Erdmann, Hazem Mesilhy, Peter Evanschitzky, Vicky Philipsen, Frank Timmermans, Markus Bauer
Opublikowane w: Journal of Micro/Nanolithography, MEMS, and MOEMS, Numer 19/04, 2020, ISSN 1932-5150
Wydawca: S P I E - International Society for Optical Engineering
DOI: 10.1117/1.jmm.19.4.041001

Very-High Dynamic Range, 10,000 Frames/Second Pixel Array Detector for Electron Microscopy

Autorzy: Hugh T. Philipp, Mark W. Tate, Katherine S. Shanks, Luigi Mele, Maurice Peemen, Pleun Dona, Reinout Hartong, Gerard van Veen, Yu-Tsun Shao, Zhen Chen, Julia Thom-Levy, David A. Muller, Sol M. Gruner
Opublikowane w: Microscopy and Microanalysis, Numer Volume 28, Numer 2, March 2022, 2022, ISSN 1431-9276
Wydawca: Cambridge University Press
DOI: 10.1017/s1431927622000174

Dynamics of crosshatch patterns in heteroepitaxy

Autorzy: Fabrizio Rovaris, Marvin H. Zoellner, Peter Zaumseil, Anna Marzegalli, Luciana Di Gaspare, Monica De Seta, Thomas Schroeder, Peter Storck, Georg Schwalb, Giovanni Capellini, and Francesco Montalenti
Opublikowane w: PHYSICAL REVIEW B, Numer Phys. Rev. B 100, 085307, 2019, ISSN 2469-9969
Wydawca: American Physical Society
DOI: 10.1103/physrevb.100.085307

Controlling the relaxation mechanism of low strain Si1−xGex/Si(001) layers and reducing the threading dislocation density by providing a preexisting dislocation source

Autorzy: L. Becker, P. Storck, T. Schulz, M. H. Zoellner, L. Di Gaspare, F. Rovaris, A. Marzegalli, F. Montalenti, M. De Seta, G. Capellini, G. Schwalb, T. Schroeder, M. Albrecht
Opublikowane w: Journal of Applied Physics, Numer Journal of Applied Physics 128, 215305 (2020), 2020, ISSN 0021-8979
Wydawca: American Institute of Physics
DOI: 10.1063/5.0032454

SALELE process from theory to fabrication

Autorzy: Youssef Drissi, Werner Gillijns, Jae Uk Lee, Ryan Ryoung han Kim, Ahmed Hamed Fatehy, Rehab K. Ali, Rajiv Sejpal, Germain Fenger, James Word
Opublikowane w: Design-Process-Technology Co-optimization for Manufacturability XIII, 2019, Strona(/y) 30, ISBN 9781510625723
Wydawca: SPIE
DOI: 10.1117/12.2517051

Multi-patterning strategies for navigating the sub-5 nm frontier, part 2

Autorzy: Jae Uk Lee, Ryoung-han Kim, David Abercrombie, Rehab Kotb Ali, Ahmed Hamed-Fatehy
Opublikowane w: 2019
Wydawca: EDN Network

Study of EUV reticle storage effects through exposure on EBL2 and NXE

Autorzy: Rik Jonckheere, Chien-Ching Wu, Veronique de Rooij-Lohmann, Dorus Elstgeest, Henk Lensen, Philipp Hoenicke, Michael Kolbe, Victor Soltwisch, Claudia Zech, Frank Scholze, Remko Aubert, Vineet Vijayakrishnan Nair, Eric Hendrickx
Opublikowane w: Extreme Ultraviolet Lithography 2020, 2020, Strona(/y) 28, ISBN 9781510638433
Wydawca: SPIE
DOI: 10.1117/12.2573125

Better prediction on patterning failure mode with hotspot aware OPC modeling

Autorzy: Chih-I Wei, Stewart Wu, Yunfei Deng, Gurdaman Khaira, Ir Kusnadi, Germain Fenger, Seulki Kang, Yosuke Okamoto, Kotaro Maruyama, Yuichiro Yamazaki, Sayantan Das, Sandip Halder, Werner Gillijns, Gian Lorusso
Opublikowane w: Metrology, Inspection, and Process Control for Semiconductor Manufacturing XXXV, 2021, Strona(/y) 32, ISBN 9781510640566
Wydawca: SPIE
DOI: 10.1117/12.2583837

Additional real-time diagnostics on the EBL2 EUV exposure facility

Autorzy: Peter van der Walle, Jetske K. Stortelder, Chien-Ching Wu, Henk Lensen, Norbert B. Koster
Opublikowane w: Extreme Ultraviolet (EUV) Lithography XI, 2020, Strona(/y) 66, ISBN 9781510634145
Wydawca: SPIE
DOI: 10.1117/12.2552011

Optical design of EUV attenuated PSM for contact-hole applications

Autorzy: Chang-Nam Ahn, Dong-Seok Nam, Nakgeuon Seong, Anthony Yen
Opublikowane w: SPIE Advanced Lithography, Numer Proceedings Volume 11609, Extreme Ultraviolet (EUV) Lithography XII; 116090D (2021), 2021
Wydawca: SPIE
DOI: 10.1117/12.2583462

Exploring patterning limit and enhancement techniques to improve printability of 2D shapes at 3nm node

Autorzy: Rehab Kotb Ali, Ahmed Hamed Fatehy, James Word
Opublikowane w: Design-Process-Technology Co-optimization for Manufacturability XIV, 2020, Strona(/y) 33, ISBN 9781510634244
Wydawca: SPIE
DOI: 10.1117/12.2552091

Multi-patterning strategies for navigating the sub-5 nm frontier, part 1

Autorzy: Jae Uk Lee, Ryoung-han Kim, David Abercrombie, Rehab Kotb Ali, Ahmed Hamed-Fatehy
Opublikowane w: 2019
Wydawca: EDN Network

Probability prediction of EUV process failure due to resist-exposure stochastic: applications of Gaussian random fields excursions and Rice's formula

Autorzy: Azat Latypov, Gurdaman Khaira, Germain L. Fenger, John L. Sturtevant, Chih-I Wei, Peter De Bisschop
Opublikowane w: Extreme Ultraviolet (EUV) Lithography XI, 2020, Strona(/y) 17, ISBN 9781510634145
Wydawca: SPIE
DOI: 10.1117/12.2551965

Investigation into a prototype EUV attenuated phase-shift mask

Autorzy: Claire van Lare, Frank Timmermans, Jo Finders, Olena Romanets, Cheuk-Wah Man, Paul van Adrichem, Yohei Ikebe, Takeshi Aizawa, Takahiro Onoue
Opublikowane w: SPIE Advanced Lithography, Numer Proceedings Volume 11609, Extreme Ultraviolet (EUV) Lithography XII; 116090A (2021), 2021
Wydawca: SPIE
DOI: 10.1117/12.2584725

Mask absorber for next generation EUV lithography

Autorzy: Meiyi Wu, Devesh Thakare, Jean-François de Marneffe, Patrick Jaenen, Laurent Souriau, Karl Opsomer, Jean-Philippe Soulié, Andreas Erdmann, Hazem Mesilhy, Philipp Naujok, Markus Foltin, Victor Soltwisch, Qais Saadeh, Vicky Philipsen
Opublikowane w: Extreme Ultraviolet Lithography 2020, 2020, Strona(/y) 2, ISBN 9781510638433
Wydawca: SPIE
DOI: 10.1117/12.2572114

High-NA EUV lithography optics becomes reality

Autorzy: Lars Wischmeier, Paul Gräupner, Peter Kürz, Winfried Kaiser, Jan Van Schoot, Jörg Mallmann, Joost de Pee, Judon Stoeldraijer
Opublikowane w: Extreme Ultraviolet (EUV) Lithography XI, 2020, Strona(/y) 4, ISBN 9781510634145
Wydawca: SPIE
DOI: 10.1117/12.2543308

High-NA EUV Lithography Exposure Tool - Advantages and Program Progress

Autorzy: Jan van Schoot, Eelco van Setten, Ruben Maas, Kars Troost, Jo Finders, Sjoerd Lok, Rudy Peeters, Judon Stoeldraijer, Jos Benschop, Paul Graeupner, Peter Kuerz, Winfried Kaiser
Opublikowane w: 2020
Wydawca: EUVL Workshop 2020

High-NA EUV Optics – The key for miniaturization of integrated circuits in the next decade

Autorzy: Heiko Feldmann, Paul Gräupner, Peter Kürz, Winfried Kaiser
Opublikowane w: 2019
Wydawca: ESSCIRC/ESSDERC 2019, Cracow, Poland

Realizing more accurate OPC models by utilizing SEM contours

Autorzy: Chih-I Wei, Rajiv Sejpal, Yunfei Deng, Ir Kusnadi, Germain Fenger, Masahiro Oya, Yosuke Okamoto, Kotaro Maruyama, Yuichiro Yamazaki, Sayantan Das, Sandip Halder, Werner Gillijns
Opublikowane w: Metrology, Inspection, and Process Control for Microlithography XXXIV, 2020, Strona(/y) 2, ISBN 9781510634183
Wydawca: SPIE
DOI: 10.1117/12.2554527

Characterization and Mitigation of 3D Mask Effects in Extreme Ultraviolet Lithography

Autorzy: ] Erdmann, A., Xu, D., Evanschitzky, P., Philipsen, V., Luong, V., Hendrickx, E.
Opublikowane w: 2017
Wydawca: Advanced Optical Technologies 6

High-NA EUV Lithography Exposure System - Advantages and Program Progress

Autorzy: Jan van Schoot, Sjoerd Lok, Eelco van Setten, Ruben Maas, Kars Troost, Rudy Peeters, Jo Finders, Judon Stoeldraijer, Jos Benschop, Paul Graeupner, Peter Kuerz, Winfried Kaiser
Opublikowane w: 2020
Wydawca: SPIE

Self-aligned block technology: a step toward further scaling

Autorzy: Frédéric Lazzarino, Nihar Mohanty, Yannick Feurprier, Lior Huli, Vinh Luong, Marc Demand, Stefan Decoster, Victor Vega Gonzalez, Julien Ryckaert, Ryan Ryoung Han Kim, Arindam Mallik, Philippe Leray, Chris Wilson, Jürgen Boemmels, Kaushik Kumar, Kathleen Nafus, Anton deVilliers, Jeffrey Smith, Carlos Fonseca, Julie Bannister, Steven Scheer, Zsolt Tokei, Daniele Piumi, Kathy Barla
Opublikowane w: Advanced Etch Technology for Nanopatterning VI, 2017, Strona(/y) 1014908
Wydawca: SPIE
DOI: 10.1117/12.2258028

First results of EUV-scanner compatibility tests performed on novel 'high-NA' reticle absorber materials

Autorzy: Jetske Stortelder, Robert P. Ebeling, Corné Rijnsent, Michel van Putten, Veronique de Rooij-Lohmann, Maximilian Smit, Arnold J. Storm, Norbert Koster, Henk A. Lensen, Vicky Philipsen, Karl Opsomer, Devesh Thakare, Torsten Feigl, Philipp Naujok
Opublikowane w: International Conference on Extreme Ultraviolet Lithography 2021, 2021, Strona(/y) 19, ISBN 9781510645530
Wydawca: SPIE
DOI: 10.1117/12.2600928

Techniques for SAMP cut/block mask design decomposition

Autorzy: BY JAE UK LEE, RYOUNG HAN KIM, DAVID ABERCROMBIE, AND REHAB KOTB ALI
Opublikowane w: 2021
Wydawca: EDN.com

Progress in High NA EUV Optics Development of sub-10nm resolution

Autorzy: Lars Wischmeier
Opublikowane w: 2020
Wydawca: ESSCIRC/ESSDERC 2020, Dresden, Germany

High NA EUV optics: preparing lithography for the next big step

Autorzy: Paul Graeupner
Opublikowane w: 2021
Wydawca: ESSCIRC/ESSDERC 2021, Grenoble, France

Multi-patterning strategies for navigating the sub-5 nm frontier, part 3

Autorzy: Jae Uk Lee, Ryoung-han Kim, David Abercrombie, Rehab Kotb Ali, Ahmed Hamed-Fatehy
Opublikowane w: 2020
Wydawca: EDN.com

Comparing multi-patterning at 5nm: SADP, SAQP, and SALELE

Autorzy: Jae Uk Lee, Apoorva Oak, Ryoung Han Kim, David Abercrombie, Rehab Kotb Ali, Ahmed Hamed-Fatehy
Opublikowane w: 2019
Wydawca: Siemens

High-NA EUV Optics –the Key for Miniaturizationof Integrated Circuitsin the Next Decade

Autorzy: Bartosz Bilski, Heiko Feldmann, Paul Gräupner, Peter Kürz, Winfried Kaiser
Opublikowane w: 2019
Wydawca: ESSCIRC/ESSDERC 2019, Cracow, Poland

VLSI Keynote: EUVL - Optics: Status and Future Perspectives

Autorzy: Winfried Kaiser
Opublikowane w: 2019
Wydawca: VLSI-TSA 2019

Optics for EUV Lithography

Autorzy: Sascha Migura
Opublikowane w: 2019
Wydawca: EUVL Workshop

Self-aligned multi-patterning Track decomposition techniques

Autorzy: Jae Uk Lee, David Abercrombie, Rehab Kotb Ali
Opublikowane w: 2020
Wydawca: Siemens

Mask absorber development to enable next-generation EUVL

Autorzy: Philipsen, V., Luong, K.V., Opsomer, K., Souriau, L., Rip, J., Detavernier, C., Erdmann, A., Evanschitzky, P., Laubis, C., Hönicke, Ph., Soltwisch, V., Hendrickx, E.
Opublikowane w: SPIE DIGITAL LIBRARY, 2019
Wydawca: SPIE

Sub-40mV Sigma-VTH IGZO nFETs in 300mm Fab

Autorzy: Jerome Mitard, Luka Kljucar, Nouredine Rassoul, Harold F. W. Dekkers, Michiel van Setten, Adrian Chasin, Geoffrey Pourtois, Attilio Belmonte, Romain Delhougne, Gabriele Luca Donadio, Ludovic Goux, Manoj Nag, Chris Wilson, Zsolt Tokei, Jose Ignacio del agua Borniquel, Soeren Steudel and Gouri Sankar Kar
Opublikowane w: ECS Transactions, Numer 98 (7), 2020, Strona(/y) 205-217
Wydawca: The Electrochemical Society

Implementation of different cost functions for EUV mask optimization for next generation beyond 7nm

Autorzy: Fan Jiang, Alexander Tritchkov, Alex Wei, Srividya Jayaram, Yuyang Sun, Xima Zhang, and James Word
Opublikowane w: SPIE DIGITAL LIBRARY, 2019
Wydawca: SPIE

Reducing extreme ultraviolet mask three-dimensional effects by alternative metal absorbers

Autorzy: Philipsen, V., Luong, K.V., Souriau, L., Erdmann, A., Evanschitzky, P., van de Kruijs, R.W. E., Edrisi, A., Scholze, F., Laubis, C., Irmscher, M., Naasz, S., Reuter, C., Hendrickx, E.
Opublikowane w: Journal of Micro/Nanolithography MEMS and MOEMS 16, 2017
Wydawca: Journal of Micro/Nanolithography MEMS and MOEMS 16

Prawa własności intelektualnej

SCHWINGUNGSTILGER, OPTISCHES SYSTEM UND LITHOGRAPHIEANLAGE

Numer wniosku/publikacji: 10 2020201041
Data: 2020-01-29
Wnioskodawca/wnioskodawcy: CARL ZEISS SMT GMBH

METHOD AND LITHOGRAPH APPARATUS FOR MEASURING A RADIATION BEAM

Numer wniosku/publikacji: 20 20052250
Data: 2020-01-30

GAS MIXING FOR FAST TEMPERATURE CONTROL OF A COOLING HOOD

Numer wniosku/publikacji: 20 19076001
Data: 2019-09-26

Verfahren zur Korrektur einer Baugruppe einer Mikrolithographieanlage

Numer wniosku/publikacji: 10 2019215990
Data: 2019-10-17
Wnioskodawca/wnioskodawcy: CARL ZEISS SMT GMBH

A LITHOGRAPHIC APPARATUS AND COOLING APPARATUS

Numer wniosku/publikacji: 20 19063706
Data: 2019-05-28

RADIATION FILTER FOR A RADIATION SENSOR

Numer wniosku/publikacji: 20 19075125
Data: 2019-09-19

SYSTEM AND METHOD FOR SIMULTANEOUS PHASE CONTRAST IMAGING AND ELECTRON ENERGY-LOSS SPECTROSCOPY

Numer wniosku/publikacji: 21 192493
Data: 2021-08-23
Wnioskodawca/wnioskodawcy: FEI ELECTRON OPTICS BV

Wyszukiwanie danych OpenAIRE...

Podczas wyszukiwania danych OpenAIRE wystąpił błąd

Brak wyników