European Commission logo
English English
CORDIS - EU research results
CORDIS

Technology Advances for Pilotline of Enhanced Semiconductors for 3nm

Publications

Perspectives and tradeoffs of absorber materials for high NA EUV lithography

Author(s): Andreas Erdmann, Hazem Mesilhy, Peter Evanschitzky, Vicky Philipsen, Frank Timmermans, Markus Bauer
Published in: Journal of Micro/Nanolithography, MEMS, and MOEMS, Issue 19/04, 2020, ISSN 1932-5150
Publisher: S P I E - International Society for Optical Engineering
DOI: 10.1117/1.jmm.19.4.041001

Very-High Dynamic Range, 10,000 Frames/Second Pixel Array Detector for Electron Microscopy

Author(s): Hugh T. Philipp, Mark W. Tate, Katherine S. Shanks, Luigi Mele, Maurice Peemen, Pleun Dona, Reinout Hartong, Gerard van Veen, Yu-Tsun Shao, Zhen Chen, Julia Thom-Levy, David A. Muller, Sol M. Gruner
Published in: Microscopy and Microanalysis, Issue Volume 28, Issue 2, March 2022, 2022, ISSN 1431-9276
Publisher: Cambridge University Press
DOI: 10.1017/s1431927622000174

Dynamics of crosshatch patterns in heteroepitaxy

Author(s): Fabrizio Rovaris, Marvin H. Zoellner, Peter Zaumseil, Anna Marzegalli, Luciana Di Gaspare, Monica De Seta, Thomas Schroeder, Peter Storck, Georg Schwalb, Giovanni Capellini, and Francesco Montalenti
Published in: PHYSICAL REVIEW B, Issue Phys. Rev. B 100, 085307, 2019, ISSN 2469-9969
Publisher: American Physical Society
DOI: 10.1103/physrevb.100.085307

Controlling the relaxation mechanism of low strain Si1−xGex/Si(001) layers and reducing the threading dislocation density by providing a preexisting dislocation source

Author(s): L. Becker, P. Storck, T. Schulz, M. H. Zoellner, L. Di Gaspare, F. Rovaris, A. Marzegalli, F. Montalenti, M. De Seta, G. Capellini, G. Schwalb, T. Schroeder, M. Albrecht
Published in: Journal of Applied Physics, Issue Journal of Applied Physics 128, 215305 (2020), 2020, ISSN 0021-8979
Publisher: American Institute of Physics
DOI: 10.1063/5.0032454

SALELE process from theory to fabrication

Author(s): Youssef Drissi, Werner Gillijns, Jae Uk Lee, Ryan Ryoung han Kim, Ahmed Hamed Fatehy, Rehab K. Ali, Rajiv Sejpal, Germain Fenger, James Word
Published in: Design-Process-Technology Co-optimization for Manufacturability XIII, 2019, Page(s) 30, ISBN 9781510625723
Publisher: SPIE
DOI: 10.1117/12.2517051

Multi-patterning strategies for navigating the sub-5 nm frontier, part 2

Author(s): Jae Uk Lee, Ryoung-han Kim, David Abercrombie, Rehab Kotb Ali, Ahmed Hamed-Fatehy
Published in: 2019
Publisher: EDN Network

Study of EUV reticle storage effects through exposure on EBL2 and NXE

Author(s): Rik Jonckheere, Chien-Ching Wu, Veronique de Rooij-Lohmann, Dorus Elstgeest, Henk Lensen, Philipp Hoenicke, Michael Kolbe, Victor Soltwisch, Claudia Zech, Frank Scholze, Remko Aubert, Vineet Vijayakrishnan Nair, Eric Hendrickx
Published in: Extreme Ultraviolet Lithography 2020, 2020, Page(s) 28, ISBN 9781510638433
Publisher: SPIE
DOI: 10.1117/12.2573125

Better prediction on patterning failure mode with hotspot aware OPC modeling

Author(s): Chih-I Wei, Stewart Wu, Yunfei Deng, Gurdaman Khaira, Ir Kusnadi, Germain Fenger, Seulki Kang, Yosuke Okamoto, Kotaro Maruyama, Yuichiro Yamazaki, Sayantan Das, Sandip Halder, Werner Gillijns, Gian Lorusso
Published in: Metrology, Inspection, and Process Control for Semiconductor Manufacturing XXXV, 2021, Page(s) 32, ISBN 9781510640566
Publisher: SPIE
DOI: 10.1117/12.2583837

Additional real-time diagnostics on the EBL2 EUV exposure facility

Author(s): Peter van der Walle, Jetske K. Stortelder, Chien-Ching Wu, Henk Lensen, Norbert B. Koster
Published in: Extreme Ultraviolet (EUV) Lithography XI, 2020, Page(s) 66, ISBN 9781510634145
Publisher: SPIE
DOI: 10.1117/12.2552011

Optical design of EUV attenuated PSM for contact-hole applications

Author(s): Chang-Nam Ahn, Dong-Seok Nam, Nakgeuon Seong, Anthony Yen
Published in: SPIE Advanced Lithography, Issue Proceedings Volume 11609, Extreme Ultraviolet (EUV) Lithography XII; 116090D (2021), 2021
Publisher: SPIE
DOI: 10.1117/12.2583462

Exploring patterning limit and enhancement techniques to improve printability of 2D shapes at 3nm node

Author(s): Rehab Kotb Ali, Ahmed Hamed Fatehy, James Word
Published in: Design-Process-Technology Co-optimization for Manufacturability XIV, 2020, Page(s) 33, ISBN 9781510634244
Publisher: SPIE
DOI: 10.1117/12.2552091

Multi-patterning strategies for navigating the sub-5 nm frontier, part 1

Author(s): Jae Uk Lee, Ryoung-han Kim, David Abercrombie, Rehab Kotb Ali, Ahmed Hamed-Fatehy
Published in: 2019
Publisher: EDN Network

Probability prediction of EUV process failure due to resist-exposure stochastic: applications of Gaussian random fields excursions and Rice's formula

Author(s): Azat Latypov, Gurdaman Khaira, Germain L. Fenger, John L. Sturtevant, Chih-I Wei, Peter De Bisschop
Published in: Extreme Ultraviolet (EUV) Lithography XI, 2020, Page(s) 17, ISBN 9781510634145
Publisher: SPIE
DOI: 10.1117/12.2551965

Investigation into a prototype EUV attenuated phase-shift mask

Author(s): Claire van Lare, Frank Timmermans, Jo Finders, Olena Romanets, Cheuk-Wah Man, Paul van Adrichem, Yohei Ikebe, Takeshi Aizawa, Takahiro Onoue
Published in: SPIE Advanced Lithography, Issue Proceedings Volume 11609, Extreme Ultraviolet (EUV) Lithography XII; 116090A (2021), 2021
Publisher: SPIE
DOI: 10.1117/12.2584725

Mask absorber for next generation EUV lithography

Author(s): Meiyi Wu, Devesh Thakare, Jean-François de Marneffe, Patrick Jaenen, Laurent Souriau, Karl Opsomer, Jean-Philippe Soulié, Andreas Erdmann, Hazem Mesilhy, Philipp Naujok, Markus Foltin, Victor Soltwisch, Qais Saadeh, Vicky Philipsen
Published in: Extreme Ultraviolet Lithography 2020, 2020, Page(s) 2, ISBN 9781510638433
Publisher: SPIE
DOI: 10.1117/12.2572114

High-NA EUV lithography optics becomes reality

Author(s): Lars Wischmeier, Paul Gräupner, Peter Kürz, Winfried Kaiser, Jan Van Schoot, Jörg Mallmann, Joost de Pee, Judon Stoeldraijer
Published in: Extreme Ultraviolet (EUV) Lithography XI, 2020, Page(s) 4, ISBN 9781510634145
Publisher: SPIE
DOI: 10.1117/12.2543308

High-NA EUV Lithography Exposure Tool - Advantages and Program Progress

Author(s): Jan van Schoot, Eelco van Setten, Ruben Maas, Kars Troost, Jo Finders, Sjoerd Lok, Rudy Peeters, Judon Stoeldraijer, Jos Benschop, Paul Graeupner, Peter Kuerz, Winfried Kaiser
Published in: 2020
Publisher: EUVL Workshop 2020

High-NA EUV Optics – The key for miniaturization of integrated circuits in the next decade

Author(s): Heiko Feldmann, Paul Gräupner, Peter Kürz, Winfried Kaiser
Published in: 2019
Publisher: ESSCIRC/ESSDERC 2019, Cracow, Poland

Realizing more accurate OPC models by utilizing SEM contours

Author(s): Chih-I Wei, Rajiv Sejpal, Yunfei Deng, Ir Kusnadi, Germain Fenger, Masahiro Oya, Yosuke Okamoto, Kotaro Maruyama, Yuichiro Yamazaki, Sayantan Das, Sandip Halder, Werner Gillijns
Published in: Metrology, Inspection, and Process Control for Microlithography XXXIV, 2020, Page(s) 2, ISBN 9781510634183
Publisher: SPIE
DOI: 10.1117/12.2554527

Characterization and Mitigation of 3D Mask Effects in Extreme Ultraviolet Lithography

Author(s): ] Erdmann, A., Xu, D., Evanschitzky, P., Philipsen, V., Luong, V., Hendrickx, E.
Published in: 2017
Publisher: Advanced Optical Technologies 6

High-NA EUV Lithography Exposure System - Advantages and Program Progress

Author(s): Jan van Schoot, Sjoerd Lok, Eelco van Setten, Ruben Maas, Kars Troost, Rudy Peeters, Jo Finders, Judon Stoeldraijer, Jos Benschop, Paul Graeupner, Peter Kuerz, Winfried Kaiser
Published in: 2020
Publisher: SPIE

Self-aligned block technology: a step toward further scaling

Author(s): Frédéric Lazzarino, Nihar Mohanty, Yannick Feurprier, Lior Huli, Vinh Luong, Marc Demand, Stefan Decoster, Victor Vega Gonzalez, Julien Ryckaert, Ryan Ryoung Han Kim, Arindam Mallik, Philippe Leray, Chris Wilson, Jürgen Boemmels, Kaushik Kumar, Kathleen Nafus, Anton deVilliers, Jeffrey Smith, Carlos Fonseca, Julie Bannister, Steven Scheer, Zsolt Tokei, Daniele Piumi, Kathy Barla
Published in: Advanced Etch Technology for Nanopatterning VI, 2017, Page(s) 1014908
Publisher: SPIE
DOI: 10.1117/12.2258028

First results of EUV-scanner compatibility tests performed on novel 'high-NA' reticle absorber materials

Author(s): Jetske Stortelder, Robert P. Ebeling, Corné Rijnsent, Michel van Putten, Veronique de Rooij-Lohmann, Maximilian Smit, Arnold J. Storm, Norbert Koster, Henk A. Lensen, Vicky Philipsen, Karl Opsomer, Devesh Thakare, Torsten Feigl, Philipp Naujok
Published in: International Conference on Extreme Ultraviolet Lithography 2021, 2021, Page(s) 19, ISBN 9781510645530
Publisher: SPIE
DOI: 10.1117/12.2600928

Techniques for SAMP cut/block mask design decomposition

Author(s): BY JAE UK LEE, RYOUNG HAN KIM, DAVID ABERCROMBIE, AND REHAB KOTB ALI
Published in: 2021
Publisher: EDN.com

Progress in High NA EUV Optics Development of sub-10nm resolution

Author(s): Lars Wischmeier
Published in: 2020
Publisher: ESSCIRC/ESSDERC 2020, Dresden, Germany

High NA EUV optics: preparing lithography for the next big step

Author(s): Paul Graeupner
Published in: 2021
Publisher: ESSCIRC/ESSDERC 2021, Grenoble, France

Multi-patterning strategies for navigating the sub-5 nm frontier, part 3

Author(s): Jae Uk Lee, Ryoung-han Kim, David Abercrombie, Rehab Kotb Ali, Ahmed Hamed-Fatehy
Published in: 2020
Publisher: EDN.com

Comparing multi-patterning at 5nm: SADP, SAQP, and SALELE

Author(s): Jae Uk Lee, Apoorva Oak, Ryoung Han Kim, David Abercrombie, Rehab Kotb Ali, Ahmed Hamed-Fatehy
Published in: 2019
Publisher: Siemens

High-NA EUV Optics –the Key for Miniaturizationof Integrated Circuitsin the Next Decade

Author(s): Bartosz Bilski, Heiko Feldmann, Paul Gräupner, Peter Kürz, Winfried Kaiser
Published in: 2019
Publisher: ESSCIRC/ESSDERC 2019, Cracow, Poland

VLSI Keynote: EUVL - Optics: Status and Future Perspectives

Author(s): Winfried Kaiser
Published in: 2019
Publisher: VLSI-TSA 2019

Optics for EUV Lithography

Author(s): Sascha Migura
Published in: 2019
Publisher: EUVL Workshop

Self-aligned multi-patterning Track decomposition techniques

Author(s): Jae Uk Lee, David Abercrombie, Rehab Kotb Ali
Published in: 2020
Publisher: Siemens

Mask absorber development to enable next-generation EUVL

Author(s): Philipsen, V., Luong, K.V., Opsomer, K., Souriau, L., Rip, J., Detavernier, C., Erdmann, A., Evanschitzky, P., Laubis, C., Hönicke, Ph., Soltwisch, V., Hendrickx, E.
Published in: SPIE DIGITAL LIBRARY, 2019
Publisher: SPIE

Sub-40mV Sigma-VTH IGZO nFETs in 300mm Fab

Author(s): Jerome Mitard, Luka Kljucar, Nouredine Rassoul, Harold F. W. Dekkers, Michiel van Setten, Adrian Chasin, Geoffrey Pourtois, Attilio Belmonte, Romain Delhougne, Gabriele Luca Donadio, Ludovic Goux, Manoj Nag, Chris Wilson, Zsolt Tokei, Jose Ignacio del agua Borniquel, Soeren Steudel and Gouri Sankar Kar
Published in: ECS Transactions, Issue 98 (7), 2020, Page(s) 205-217
Publisher: The Electrochemical Society

Implementation of different cost functions for EUV mask optimization for next generation beyond 7nm

Author(s): Fan Jiang, Alexander Tritchkov, Alex Wei, Srividya Jayaram, Yuyang Sun, Xima Zhang, and James Word
Published in: SPIE DIGITAL LIBRARY, 2019
Publisher: SPIE

Reducing extreme ultraviolet mask three-dimensional effects by alternative metal absorbers

Author(s): Philipsen, V., Luong, K.V., Souriau, L., Erdmann, A., Evanschitzky, P., van de Kruijs, R.W. E., Edrisi, A., Scholze, F., Laubis, C., Irmscher, M., Naasz, S., Reuter, C., Hendrickx, E.
Published in: Journal of Micro/Nanolithography MEMS and MOEMS 16, 2017
Publisher: Journal of Micro/Nanolithography MEMS and MOEMS 16

Intellectual Property Rights

SCHWINGUNGSTILGER, OPTISCHES SYSTEM UND LITHOGRAPHIEANLAGE

Application/Publication number: 10 2020201041
Date: 2020-01-29
Applicant(s): CARL ZEISS SMT GMBH

METHOD AND LITHOGRAPH APPARATUS FOR MEASURING A RADIATION BEAM

Application/Publication number: 20 20052250
Date: 2020-01-30

GAS MIXING FOR FAST TEMPERATURE CONTROL OF A COOLING HOOD

Application/Publication number: 20 19076001
Date: 2019-09-26

Verfahren zur Korrektur einer Baugruppe einer Mikrolithographieanlage

Application/Publication number: 10 2019215990
Date: 2019-10-17
Applicant(s): CARL ZEISS SMT GMBH

A LITHOGRAPHIC APPARATUS AND COOLING APPARATUS

Application/Publication number: 20 19063706
Date: 2019-05-28

RADIATION FILTER FOR A RADIATION SENSOR

Application/Publication number: 20 19075125
Date: 2019-09-19

SYSTEM AND METHOD FOR SIMULTANEOUS PHASE CONTRAST IMAGING AND ELECTRON ENERGY-LOSS SPECTROSCOPY

Application/Publication number: 21 192493
Date: 2021-08-23
Applicant(s): FEI ELECTRON OPTICS BV

Searching for OpenAIRE data...

There was an error trying to search data from OpenAIRE

No results available