CORDIS - Forschungsergebnisse der EU
CORDIS

Technology Advances for Pilotline of Enhanced Semiconductors for 3nm

Veröffentlichungen

Perspectives and tradeoffs of absorber materials for high NA EUV lithography

Autoren: Andreas Erdmann, Hazem Mesilhy, Peter Evanschitzky, Vicky Philipsen, Frank Timmermans, Markus Bauer
Veröffentlicht in: Journal of Micro/Nanolithography, MEMS, and MOEMS, Ausgabe 19/04, 2020, ISSN 1932-5150
Herausgeber: S P I E - International Society for Optical Engineering
DOI: 10.1117/1.jmm.19.4.041001

Very-High Dynamic Range, 10,000 Frames/Second Pixel Array Detector for Electron Microscopy

Autoren: Hugh T. Philipp, Mark W. Tate, Katherine S. Shanks, Luigi Mele, Maurice Peemen, Pleun Dona, Reinout Hartong, Gerard van Veen, Yu-Tsun Shao, Zhen Chen, Julia Thom-Levy, David A. Muller, Sol M. Gruner
Veröffentlicht in: Microscopy and Microanalysis, Ausgabe Volume 28, Ausgabe 2, March 2022, 2022, ISSN 1431-9276
Herausgeber: Cambridge University Press
DOI: 10.1017/s1431927622000174

Dynamics of crosshatch patterns in heteroepitaxy

Autoren: Fabrizio Rovaris, Marvin H. Zoellner, Peter Zaumseil, Anna Marzegalli, Luciana Di Gaspare, Monica De Seta, Thomas Schroeder, Peter Storck, Georg Schwalb, Giovanni Capellini, and Francesco Montalenti
Veröffentlicht in: PHYSICAL REVIEW B, Ausgabe Phys. Rev. B 100, 085307, 2019, ISSN 2469-9969
Herausgeber: American Physical Society
DOI: 10.1103/physrevb.100.085307

Controlling the relaxation mechanism of low strain Si1−xGex/Si(001) layers and reducing the threading dislocation density by providing a preexisting dislocation source

Autoren: L. Becker, P. Storck, T. Schulz, M. H. Zoellner, L. Di Gaspare, F. Rovaris, A. Marzegalli, F. Montalenti, M. De Seta, G. Capellini, G. Schwalb, T. Schroeder, M. Albrecht
Veröffentlicht in: Journal of Applied Physics, Ausgabe Journal of Applied Physics 128, 215305 (2020), 2020, ISSN 0021-8979
Herausgeber: American Institute of Physics
DOI: 10.1063/5.0032454

SALELE process from theory to fabrication

Autoren: Youssef Drissi, Werner Gillijns, Jae Uk Lee, Ryan Ryoung han Kim, Ahmed Hamed Fatehy, Rehab K. Ali, Rajiv Sejpal, Germain Fenger, James Word
Veröffentlicht in: Design-Process-Technology Co-optimization for Manufacturability XIII, 2019, Seite(n) 30, ISBN 9781510625723
Herausgeber: SPIE
DOI: 10.1117/12.2517051

Multi-patterning strategies for navigating the sub-5 nm frontier, part 2

Autoren: Jae Uk Lee, Ryoung-han Kim, David Abercrombie, Rehab Kotb Ali, Ahmed Hamed-Fatehy
Veröffentlicht in: 2019
Herausgeber: EDN Network

Study of EUV reticle storage effects through exposure on EBL2 and NXE

Autoren: Rik Jonckheere, Chien-Ching Wu, Veronique de Rooij-Lohmann, Dorus Elstgeest, Henk Lensen, Philipp Hoenicke, Michael Kolbe, Victor Soltwisch, Claudia Zech, Frank Scholze, Remko Aubert, Vineet Vijayakrishnan Nair, Eric Hendrickx
Veröffentlicht in: Extreme Ultraviolet Lithography 2020, 2020, Seite(n) 28, ISBN 9781510638433
Herausgeber: SPIE
DOI: 10.1117/12.2573125

Better prediction on patterning failure mode with hotspot aware OPC modeling

Autoren: Chih-I Wei, Stewart Wu, Yunfei Deng, Gurdaman Khaira, Ir Kusnadi, Germain Fenger, Seulki Kang, Yosuke Okamoto, Kotaro Maruyama, Yuichiro Yamazaki, Sayantan Das, Sandip Halder, Werner Gillijns, Gian Lorusso
Veröffentlicht in: Metrology, Inspection, and Process Control for Semiconductor Manufacturing XXXV, 2021, Seite(n) 32, ISBN 9781510640566
Herausgeber: SPIE
DOI: 10.1117/12.2583837

Additional real-time diagnostics on the EBL2 EUV exposure facility

Autoren: Peter van der Walle, Jetske K. Stortelder, Chien-Ching Wu, Henk Lensen, Norbert B. Koster
Veröffentlicht in: Extreme Ultraviolet (EUV) Lithography XI, 2020, Seite(n) 66, ISBN 9781510634145
Herausgeber: SPIE
DOI: 10.1117/12.2552011

Optical design of EUV attenuated PSM for contact-hole applications

Autoren: Chang-Nam Ahn, Dong-Seok Nam, Nakgeuon Seong, Anthony Yen
Veröffentlicht in: SPIE Advanced Lithography, Ausgabe Proceedings Volume 11609, Extreme Ultraviolet (EUV) Lithography XII; 116090D (2021), 2021
Herausgeber: SPIE
DOI: 10.1117/12.2583462

Exploring patterning limit and enhancement techniques to improve printability of 2D shapes at 3nm node

Autoren: Rehab Kotb Ali, Ahmed Hamed Fatehy, James Word
Veröffentlicht in: Design-Process-Technology Co-optimization for Manufacturability XIV, 2020, Seite(n) 33, ISBN 9781510634244
Herausgeber: SPIE
DOI: 10.1117/12.2552091

Multi-patterning strategies for navigating the sub-5 nm frontier, part 1

Autoren: Jae Uk Lee, Ryoung-han Kim, David Abercrombie, Rehab Kotb Ali, Ahmed Hamed-Fatehy
Veröffentlicht in: 2019
Herausgeber: EDN Network

Probability prediction of EUV process failure due to resist-exposure stochastic: applications of Gaussian random fields excursions and Rice's formula

Autoren: Azat Latypov, Gurdaman Khaira, Germain L. Fenger, John L. Sturtevant, Chih-I Wei, Peter De Bisschop
Veröffentlicht in: Extreme Ultraviolet (EUV) Lithography XI, 2020, Seite(n) 17, ISBN 9781510634145
Herausgeber: SPIE
DOI: 10.1117/12.2551965

Investigation into a prototype EUV attenuated phase-shift mask

Autoren: Claire van Lare, Frank Timmermans, Jo Finders, Olena Romanets, Cheuk-Wah Man, Paul van Adrichem, Yohei Ikebe, Takeshi Aizawa, Takahiro Onoue
Veröffentlicht in: SPIE Advanced Lithography, Ausgabe Proceedings Volume 11609, Extreme Ultraviolet (EUV) Lithography XII; 116090A (2021), 2021
Herausgeber: SPIE
DOI: 10.1117/12.2584725

Mask absorber for next generation EUV lithography

Autoren: Meiyi Wu, Devesh Thakare, Jean-François de Marneffe, Patrick Jaenen, Laurent Souriau, Karl Opsomer, Jean-Philippe Soulié, Andreas Erdmann, Hazem Mesilhy, Philipp Naujok, Markus Foltin, Victor Soltwisch, Qais Saadeh, Vicky Philipsen
Veröffentlicht in: Extreme Ultraviolet Lithography 2020, 2020, Seite(n) 2, ISBN 9781510638433
Herausgeber: SPIE
DOI: 10.1117/12.2572114

High-NA EUV lithography optics becomes reality

Autoren: Lars Wischmeier, Paul Gräupner, Peter Kürz, Winfried Kaiser, Jan Van Schoot, Jörg Mallmann, Joost de Pee, Judon Stoeldraijer
Veröffentlicht in: Extreme Ultraviolet (EUV) Lithography XI, 2020, Seite(n) 4, ISBN 9781510634145
Herausgeber: SPIE
DOI: 10.1117/12.2543308

High-NA EUV Lithography Exposure Tool - Advantages and Program Progress

Autoren: Jan van Schoot, Eelco van Setten, Ruben Maas, Kars Troost, Jo Finders, Sjoerd Lok, Rudy Peeters, Judon Stoeldraijer, Jos Benschop, Paul Graeupner, Peter Kuerz, Winfried Kaiser
Veröffentlicht in: 2020
Herausgeber: EUVL Workshop 2020

High-NA EUV Optics – The key for miniaturization of integrated circuits in the next decade

Autoren: Heiko Feldmann, Paul Gräupner, Peter Kürz, Winfried Kaiser
Veröffentlicht in: 2019
Herausgeber: ESSCIRC/ESSDERC 2019, Cracow, Poland

Realizing more accurate OPC models by utilizing SEM contours

Autoren: Chih-I Wei, Rajiv Sejpal, Yunfei Deng, Ir Kusnadi, Germain Fenger, Masahiro Oya, Yosuke Okamoto, Kotaro Maruyama, Yuichiro Yamazaki, Sayantan Das, Sandip Halder, Werner Gillijns
Veröffentlicht in: Metrology, Inspection, and Process Control for Microlithography XXXIV, 2020, Seite(n) 2, ISBN 9781510634183
Herausgeber: SPIE
DOI: 10.1117/12.2554527

Characterization and Mitigation of 3D Mask Effects in Extreme Ultraviolet Lithography

Autoren: ] Erdmann, A., Xu, D., Evanschitzky, P., Philipsen, V., Luong, V., Hendrickx, E.
Veröffentlicht in: 2017
Herausgeber: Advanced Optical Technologies 6

High-NA EUV Lithography Exposure System - Advantages and Program Progress

Autoren: Jan van Schoot, Sjoerd Lok, Eelco van Setten, Ruben Maas, Kars Troost, Rudy Peeters, Jo Finders, Judon Stoeldraijer, Jos Benschop, Paul Graeupner, Peter Kuerz, Winfried Kaiser
Veröffentlicht in: 2020
Herausgeber: SPIE

Self-aligned block technology: a step toward further scaling

Autoren: Frédéric Lazzarino, Nihar Mohanty, Yannick Feurprier, Lior Huli, Vinh Luong, Marc Demand, Stefan Decoster, Victor Vega Gonzalez, Julien Ryckaert, Ryan Ryoung Han Kim, Arindam Mallik, Philippe Leray, Chris Wilson, Jürgen Boemmels, Kaushik Kumar, Kathleen Nafus, Anton deVilliers, Jeffrey Smith, Carlos Fonseca, Julie Bannister, Steven Scheer, Zsolt Tokei, Daniele Piumi, Kathy Barla
Veröffentlicht in: Advanced Etch Technology for Nanopatterning VI, 2017, Seite(n) 1014908
Herausgeber: SPIE
DOI: 10.1117/12.2258028

First results of EUV-scanner compatibility tests performed on novel 'high-NA' reticle absorber materials

Autoren: Jetske Stortelder, Robert P. Ebeling, Corné Rijnsent, Michel van Putten, Veronique de Rooij-Lohmann, Maximilian Smit, Arnold J. Storm, Norbert Koster, Henk A. Lensen, Vicky Philipsen, Karl Opsomer, Devesh Thakare, Torsten Feigl, Philipp Naujok
Veröffentlicht in: International Conference on Extreme Ultraviolet Lithography 2021, 2021, Seite(n) 19, ISBN 9781510645530
Herausgeber: SPIE
DOI: 10.1117/12.2600928

Techniques for SAMP cut/block mask design decomposition

Autoren: BY JAE UK LEE, RYOUNG HAN KIM, DAVID ABERCROMBIE, AND REHAB KOTB ALI
Veröffentlicht in: 2021
Herausgeber: EDN.com

Progress in High NA EUV Optics Development of sub-10nm resolution

Autoren: Lars Wischmeier
Veröffentlicht in: 2020
Herausgeber: ESSCIRC/ESSDERC 2020, Dresden, Germany

High NA EUV optics: preparing lithography for the next big step

Autoren: Paul Graeupner
Veröffentlicht in: 2021
Herausgeber: ESSCIRC/ESSDERC 2021, Grenoble, France

Multi-patterning strategies for navigating the sub-5 nm frontier, part 3

Autoren: Jae Uk Lee, Ryoung-han Kim, David Abercrombie, Rehab Kotb Ali, Ahmed Hamed-Fatehy
Veröffentlicht in: 2020
Herausgeber: EDN.com

Comparing multi-patterning at 5nm: SADP, SAQP, and SALELE

Autoren: Jae Uk Lee, Apoorva Oak, Ryoung Han Kim, David Abercrombie, Rehab Kotb Ali, Ahmed Hamed-Fatehy
Veröffentlicht in: 2019
Herausgeber: Siemens

High-NA EUV Optics –the Key for Miniaturizationof Integrated Circuitsin the Next Decade

Autoren: Bartosz Bilski, Heiko Feldmann, Paul Gräupner, Peter Kürz, Winfried Kaiser
Veröffentlicht in: 2019
Herausgeber: ESSCIRC/ESSDERC 2019, Cracow, Poland

VLSI Keynote: EUVL - Optics: Status and Future Perspectives

Autoren: Winfried Kaiser
Veröffentlicht in: 2019
Herausgeber: VLSI-TSA 2019

Optics for EUV Lithography

Autoren: Sascha Migura
Veröffentlicht in: 2019
Herausgeber: EUVL Workshop

Self-aligned multi-patterning Track decomposition techniques

Autoren: Jae Uk Lee, David Abercrombie, Rehab Kotb Ali
Veröffentlicht in: 2020
Herausgeber: Siemens

Mask absorber development to enable next-generation EUVL

Autoren: Philipsen, V., Luong, K.V., Opsomer, K., Souriau, L., Rip, J., Detavernier, C., Erdmann, A., Evanschitzky, P., Laubis, C., Hönicke, Ph., Soltwisch, V., Hendrickx, E.
Veröffentlicht in: SPIE DIGITAL LIBRARY, 2019
Herausgeber: SPIE

Sub-40mV Sigma-VTH IGZO nFETs in 300mm Fab

Autoren: Jerome Mitard, Luka Kljucar, Nouredine Rassoul, Harold F. W. Dekkers, Michiel van Setten, Adrian Chasin, Geoffrey Pourtois, Attilio Belmonte, Romain Delhougne, Gabriele Luca Donadio, Ludovic Goux, Manoj Nag, Chris Wilson, Zsolt Tokei, Jose Ignacio del agua Borniquel, Soeren Steudel and Gouri Sankar Kar
Veröffentlicht in: ECS Transactions, Ausgabe 98 (7), 2020, Seite(n) 205-217
Herausgeber: The Electrochemical Society

Implementation of different cost functions for EUV mask optimization for next generation beyond 7nm

Autoren: Fan Jiang, Alexander Tritchkov, Alex Wei, Srividya Jayaram, Yuyang Sun, Xima Zhang, and James Word
Veröffentlicht in: SPIE DIGITAL LIBRARY, 2019
Herausgeber: SPIE

Reducing extreme ultraviolet mask three-dimensional effects by alternative metal absorbers

Autoren: Philipsen, V., Luong, K.V., Souriau, L., Erdmann, A., Evanschitzky, P., van de Kruijs, R.W. E., Edrisi, A., Scholze, F., Laubis, C., Irmscher, M., Naasz, S., Reuter, C., Hendrickx, E.
Veröffentlicht in: Journal of Micro/Nanolithography MEMS and MOEMS 16, 2017
Herausgeber: Journal of Micro/Nanolithography MEMS and MOEMS 16

Rechte des geistigen Eigentums

SCHWINGUNGSTILGER, OPTISCHES SYSTEM UND LITHOGRAPHIEANLAGE

Antrags-/Publikationsnummer: 10 2020201041
Datum: 2020-01-29
Antragsteller: CARL ZEISS SMT GMBH

METHOD AND LITHOGRAPH APPARATUS FOR MEASURING A RADIATION BEAM

Antrags-/Publikationsnummer: 20 20052250
Datum: 2020-01-30

GAS MIXING FOR FAST TEMPERATURE CONTROL OF A COOLING HOOD

Antrags-/Publikationsnummer: 20 19076001
Datum: 2019-09-26

Verfahren zur Korrektur einer Baugruppe einer Mikrolithographieanlage

Antrags-/Publikationsnummer: 10 2019215990
Datum: 2019-10-17
Antragsteller: CARL ZEISS SMT GMBH

A LITHOGRAPHIC APPARATUS AND COOLING APPARATUS

Antrags-/Publikationsnummer: 20 19063706
Datum: 2019-05-28

RADIATION FILTER FOR A RADIATION SENSOR

Antrags-/Publikationsnummer: 20 19075125
Datum: 2019-09-19

SYSTEM AND METHOD FOR SIMULTANEOUS PHASE CONTRAST IMAGING AND ELECTRON ENERGY-LOSS SPECTROSCOPY

Antrags-/Publikationsnummer: 21 192493
Datum: 2021-08-23
Antragsteller: FEI ELECTRON OPTICS BV

Suche nach OpenAIRE-Daten ...

Bei der Suche nach OpenAIRE-Daten ist ein Fehler aufgetreten

Es liegen keine Ergebnisse vor