European Commission logo
polski polski
CORDIS - Wyniki badań wspieranych przez UE
CORDIS

Agile, eXtensible, fast I/O Module for the cyber-physical era

Rezultaty

Report on AXIOM Events

The consultation and validation activities with the external communities will mainly take place through the foreseen 3 industrial workshops that will be organized by the project (by AXIOM industrial actors).

Evaluation of the compiler and tools infrastructure

After the first prototype AXIOM board will be delivered, the testing will be necessary to verify the initial software toolchain. Final research results will be included in this deliverable.

Design Space Exploration (DSE) on the prototype for the AXIOM

Definition and development of appropriate Design Space Exploration (DSE) tools and methodologies.

Final Report on AXIOM Events

The AXIOM final conference will be organized close to the end of the project ideally as a satellite event at a larger international conference. The conference will target to present and validate AXIOM final outcomes and mostly communicate the anticipated next steps at research and innovation level.

Initial AXIOM Evaluation Platform (AEP) definition and initial tests

Definition of the AXIOM evaluation platform (AEP) appropriate for Cyber-Physical Systems

Technical specifications of AXIOM board

This deliverable is a report outlining the most relevant system architecture details

Programming model extensions

This deliverable include the final API document and the software developed, released as Open-Source Software, and added to the BSC website (pm.bsc.es/mcxx).

Performance and energy evaluation of the AXIOM

Integrate in the evaluation platform the main results from all the all work packages.

Scenarios and requirements and Report

Scenario collection and production in the domain of Smart Home/Living (SHL) and Smart Video-Surveillance (SVS) carried out by Contextual Inquiries methods on the field with end Users and Stakeholders.

Final operating system and documentation

This deliverable will consist in an update of deliverable D5.3 containing also the mechanism for load balancing. An additional document will provide the analysis of the real-time guarantees that the system can meet.

Parallel programming library and documentation

This deliverable will consist in an update of deliverable D5.1 with the addition of the Linux kernel driver for the high-speed interconnect developed in Task 5.1, the Remote Memory Access mechanism developed in Task 5.2 and the library for parallel programming developed in Task 5.3.

AXIOM code generation and instrumentation

Documentation for the prototype compiler and first comparative research results is included in this deliverable.

Report on proof of concepts

Selection, envisioning and refinement of Scenarios to be put in to scene by prototypes of AXIOM architecture in the domain of Smart Living/Home (SLH) and Smart Video-Surveillance (SVS). Porting of the Smart Living/Home Application and Smart Video-Surveillance to the OmpSs Programming Model

Project web site

The goal of this deliverable is to create a web site for the AXIOM project. The site will contain a public part that will serve as a point of visibility for people outside the consortium to make enquiries about the project and to obtain publicly available documentation. Besides the public part, the web site will have part with controlled access, where only partners in the project will be allowed. This part will contain deliverables and reports that have restricted dissemination level, and drafts of deliverables that are being prepared. It will also provide collaborative tools (e.g., wiki) that can be used by multiple partners for collaborating on reports and deliverables.

Publikacje

Efficient network interface design for low cost distributed systems

Autorzy: Amourgianos-Lorentzos, Vasileios
Opublikowane w: 2017
Wydawca: Technical University of Crete

Asynchronous runtime for task-based dataflow programming models

Autorzy: Bosch Pons, J
Opublikowane w: 2017
Wydawca: Universitat Politecnica de Catalunya

A Survey on Hardware and Software Support for Thread Level Parallelism

Autorzy: Mazumdar, Somnath and Giorgi Roberto
Opublikowane w: 2016
Wydawca: ArXiv

Coarse-grain performance estimator for heterogeneous parallel computing architectures like Zynq all-programmable SoC

Autorzy: D. Jiménez-González, C. Álvarez, A. Filgueras, X. Martorell, J. Langer, J. Noguera, K. Vissers
Opublikowane w: 2015
Wydawca: arXiv

Simulating Next-Generation Cyber-Physical Computing Platforms

Autorzy: Burgio P.; Alvarez C.; Ayguadé E.; Filgueras A.; Jiménez-González D.; Martorell X.; Navarro N. and Giorgi R.
Opublikowane w: Ada User Journal, Numer 36 (4), 2015, ISSN 1381-6551
Wydawca: Ada Language UK Ltd.

Modeling Multi-board Communication in the AXIOM Cyber-Physical System

Autorzy: Giorgi, R; , Mazumdar, S.; Viola, S.; Gai, P.; Garzarella, S.; Morelli, B.; Pnevmatikatos, D.; Theodoropoulos, D.; Álvarez, C.; Ayguadé, E.; Bueno, J.; Filgueras, A.; Jiménez-González, D; Martorell, X.
Opublikowane w: Ada User journal, Numer 37 (4), 2016, Strona(/y) 228-235, ISSN 1381-6551
Wydawca: Ada Language UK Ltd.

Transactional Memory on a Dataflow Architecture for Accelerating Haskell

Autorzy: Roberto Giorgi
Opublikowane w: WSEAS Transactions on Computers, Numer Vol. 14, 2015, Strona(/y) 546-558, ISSN 1109-2750
Wydawca: World Scientific and Engineering Academy and Society

A Data-Flow Soft-Core Processor for Accelerating Scientific Calculation on FPGAs

Autorzy: Verdoscia, Lorenzo; Giorgi, Roberto
Opublikowane w: Mathematical Problems in Engineering, 2016, Strona(/y) 1-21, ISSN 1563-5147
Wydawca: Hindawi
DOI: 10.1155/2016/3190234

The AXIOM Software Layers

Autorzy: Alvarez, Carlos; Ayguade, Eduard; Bosch, Jaume; Bueno, Javier; Cherkashin, Artem; Filgueras, Antonio; Jiminez-Gonzalez, Daniel; Martorell, Xavier; Navarro, Nacho; Vidal, Miquel; Theodoropoulos, Dimitris; Pnevmatikatos, Dionisios; Catani, Davide; Oro, David; Fernandez, Carles; Segura, Carlos; Rodriguez, Javier; Hernando, Javier; Scordino, Claudio; Gai, Paolo; Passera, Pierluigi; Pomella, Aberto; Be
Opublikowane w: ELSEVIER Microprocessors and Microsystems, Numer 47 (B), 2016, Strona(/y) 262-277, ISSN 0141-9331
Wydawca: Elsevier BV
DOI: 10.1016/j.micpro.2016.07.002

Making IoT with UDOO

Autorzy: Rizzo, Antonio; Burresi, Giovanni; Montefoschi, Francesco; Caporali, Maurizio; Giorgi, Roberto
Opublikowane w: Interaction Design and Architecture(s), Numer 1 (30), 2016, Strona(/y) 95-112, ISSN 1826-9745
Wydawca: ?

Simulating next-generation Cyber-physical computing platforms

Autorzy: Burgio, Paolo; Alvarez, Carlos; Ayguade, Eduard; Filgueras, Antonio; Jiminez-Gonzalez, Daniel; Martorell, Xavier; Navarro, Nacho; Giorgi, Roberto
Opublikowane w: Ada User Journal, Numer 37 (1), 2016, Strona(/y) 59-63, ISSN 1381-6551
Wydawca: Ada Language UK Ltd.

Accelerating Haskell on a Dataflow Architecture: a case study including Transactional Memory

Autorzy: Roberto Giorgi
Opublikowane w: Proc. Int.l Conf. on Computer Engineering and Applications (CEA), 2015, Strona(/y) 91–100, ISBN 978-1-61804-276-7
Wydawca: ?

Wyszukiwanie danych OpenAIRE...

Podczas wyszukiwania danych OpenAIRE wystąpił błąd

Brak wyników