CORDIS - Forschungsergebnisse der EU
CORDIS

Which Architecture Yields Two Other Generations Of Fully depleted Advanced Substrate and Technologies

Veröffentlichungen

Back-gate bias effect on UTBB-FDSOI non-linearity performance

Autoren: B. Kazemi Esfeh, V. Kilchytska, B. Parvais, N. Planes, M. Haond, D. Flandre, J.-P. Raskin
Veröffentlicht in: 2017 47th European Solid-State Device Research Conference (ESSDERC), 2017, Seite(n) 148-151, ISBN 978-1-5090-5978-2
Herausgeber: IEEE
DOI: 10.1109/ESSDERC.2017.8066613

Comparative study of non-linearities in 28 nm node FDSOI and Bulk MOSFETs

Autoren: V. Kilchytska, B. Kazemi Esfeh, C. Gimeno, B. Parvais, N. Planes, M. Haond, J.-P. Raskin, D. Flandre
Veröffentlicht in: 2017 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), 2017, Seite(n) 128-131, ISBN 978-1-5090-5313-1
Herausgeber: IEEE
DOI: 10.1109/ULIS.2017.7962581

Back-gate bias effect on FDSOI MOSFET RF Figures of Merits and parasitic elements

Autoren: B. Kazemi Esfeh, V. Kilchytska, B. Parvais, N. Planes, M. Haond, D. Flandre, J.-P. Raskin
Veröffentlicht in: 2017 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), 2017, Seite(n) 228-230, ISBN 978-1-5090-5313-1
Herausgeber: IEEE
DOI: 10.1109/ULIS.2017.7962569

Mechanical simulation of stress engineering solutions in highly strained p-type FDSOI MOSFETs for 14-nm node and beyond

Autoren: A. Idrissi-El Oudrhiri, S. Martinie, J-C. Barbe, O. Rozeau, C. Le Royer, M-A. Jaud, J. Lacord, N. Bernier, L. Grenouillet, P. Rivallin, J. Pelloux-Prayer, M. Casse, M. Mouis
Veröffentlicht in: 2015 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), 2015, Seite(n) 206-209, ISBN 978-1-4673-7860-4
Herausgeber: IEEE
DOI: 10.1109/SISPAD.2015.7292295

Scatterometry control for multiple electron beam lithography

Autoren: Yoann Blancquaert, Nivea Figueiro, Thibault Labbaye, Francisco Sanchez, Stephane Heraud, Roy Koret, Matthew Sendelbach, Ralf Michel, Shay Wolfling, Stephane Rey, Laurent Pain
Veröffentlicht in: Metrology, Inspection, and Process Control for Microlithography XXXI, 2017, Seite(n) 101451F
Herausgeber: SPIE
DOI: 10.1117/12.2261389

Smart solutions for efficient dual strain integration for future FDSOI generations

Autoren: A. Bonnevialle, C. Le Royer, Y. Morand, S. Reboh, C. Plantier, N. Rambal, J.-P. Pedini, S. Kerdiles, P. Besson, J.-M. Hartmann, D. Marseilhan, B. Mathieu, R. Berthelon, M. Casse, F. Andrieu, D. Rouchon, O. Weber, F. Boeuf, M. Haond, A. Claverie, M. Vinet
Veröffentlicht in: 2016 IEEE Symposium on VLSI Technology, 2016, Seite(n) 1-2, ISBN 978-1-5090-0638-0
Herausgeber: IEEE
DOI: 10.1109/VLSIT.2016.7573406

Field mapping of semiconductor devices in a transmission electron microscope with nanometre scale resolution by off-axis electron holography and precession electron diffraction

Autoren: David Cooper, Nicolas Bernier, Jean-Luc Rouviere
Veröffentlicht in: 2015 IEEE 15th International Conference on Nanotechnology (IEEE-NANO), 2015, Seite(n) 777-780, ISBN 978-1-4673-8156-7
Herausgeber: IEEE
DOI: 10.1109/NANO.2015.7388725

Vertically stacked-NanoWires MOSFETs in a replacement metal gate process with inner spacer and SiGe source/drain

Autoren: S. Barraud, V. Lapras, M.P. Samson, L. Gaben, L. Grenouillet, V. Maffini-Alvaro, Y. Morand, J. Daranlot, N. Rambal, B. Previtalli, S. Reboh, C. Tabone, R. Coquand, E. Augendre, O. Rozeau, J. M. Hartmann, C. Vizioz, C. Arvet, P. Pimenta-Barros, N. Posseme, V. Loup, C. Comboroure, C. Euvrard, V. Balan, I. Tinti, G. Audoit, N. Bernier, D. Cooper, Z. Saghi, F. Allain, A. Toffoli, O. Faynot, M. Vinet
Veröffentlicht in: 2016 IEEE International Electron Devices Meeting (IEDM), 2016, Seite(n) 17.6.1-17.6.4, ISBN 978-1-5090-3902-9
Herausgeber: IEEE
DOI: 10.1109/IEDM.2016.7838441

Performance and layout effects of SiGe channel in 14nm UTBB FDSOI: SiGe-first vs. SiGe-last integration

Autoren: R. Berthelon, F. Andrieu, P. Perreau, E. Baylac, A. Pofelski, E. Josse, D. Dutartre, A. Claverie, M. Haond
Veröffentlicht in: 2016 46th European Solid-State Device Research Conference (ESSDERC), 2016, Seite(n) 127-130, ISBN 978-1-5090-2969-3
Herausgeber: IEEE
DOI: 10.1109/ESSDERC.2016.7599604

Design / technology co-optimization of strain-induced layout effects in 14nm UTBB-FDSOI CMOS: Enablement and assessment of continuous-RX designs

Autoren: R. Berthelon, F. Andrieu, E. Josse, R. Bingert, O. Weber, E. Serret, A. Aurand, S. Delmedico, V. Farys, C. Bernicot, E. Bechet, E. Bernard, T. Poiroux, D. Rideau, P. Scheer, E. Baylac, P. Perreau, M.A. Jaud, J. Lacord, E. Petitprez, A. Pofelski, S. Ortolland, P. Sardin, D. Dutartre, A. Claverie, M. Vinet, J.C. Marin, M. Haond
Veröffentlicht in: 2016 IEEE Symposium on VLSI Technology, 2016, Seite(n) 1-2, ISBN 978-1-5090-0638-0
Herausgeber: IEEE
DOI: 10.1109/VLSIT.2016.7573425

A novel dual isolation scheme for stress and back-bias maximum efficiency in FDSOI Technology

Autoren: R. Berthelon, F. Andrieu, P. Perreau, D. Cooper, F. Roze, O. Gourhant, P. Rivallin, N. Bernier, A. Cros, C. Ndiaye, E. Baylac, E. Souchier, D. Dutartre, A. Claverie, O. Weber, E. Josse, M. Vinet, M. Haond
Veröffentlicht in: 2016 IEEE International Electron Devices Meeting (IEDM), 2016, Seite(n) 17.7.1-17.7.4, ISBN 978-1-5090-3902-9
Herausgeber: IEEE
DOI: 10.1109/IEDM.2016.7838442

Impact of the design layout on threshold voltage in SiGe channel UTBB-FDSOI pMOSFET

Autoren: R. Berthelon, F. Andrieu, S. Ortolland, R. Nicolas, T. Poiroux, E. Baylac, D. Dutartre, E. Josse, A. Claverie, M. Haond
Veröffentlicht in: 2016 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), 2016, Seite(n) 88-91, ISBN 978-1-4673-8609-8
Herausgeber: IEEE
DOI: 10.1109/ULIS.2016.7440059

Mechanical simulations of BOX creep for strained FDSOI

Autoren: R. Berthelon, F. Andrieu, B. Mathieu, D. Dutartre, C. Le Royer, M. Vinet, A. Claverie
Veröffentlicht in: 2017 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), 2017, Seite(n) 91-94, ISBN 978-1-5090-5313-1
Herausgeber: IEEE
DOI: 10.1109/ULIS.2017.7962609

Impact of strain on access resistance in planar and nanowire CMOS devices

Autoren: R. Berthelon, F. Andneu, F. Triozon, M. Casse, L. Bourdet, G. Ghibaudo, D. Rideau, Y. M. Niquet, S. Barraud, P. Nguyen, C. Le Royer, J. Lacord, C. Tabone, O. Rozeau, D. Dutartre, A. Claverie, E. Josse, F. Arnaud, M. Vinet
Veröffentlicht in: 2017 Symposium on VLSI Technology, 2017, Seite(n) T224-T225, ISBN 978-4-86348-605-8
Herausgeber: IEEE
DOI: 10.23919/VLSIT.2017.7998180

Physical transport simulation for path-finding and device optimization

Autoren: M. Karner, Z. Stanojevic, O. Baumgartner, HW. Karner, C. Kernstock, H. Demel, F. Mitterbauer
Veröffentlicht in: 2016 IEEE Silicon Nanoelectronics Workshop (SNW), 2016, Seite(n) 208-209, ISBN 978-1-5090-0726-4
Herausgeber: IEEE
DOI: 10.1109/SNW.2016.7578054

Phase-space solution of the subband Boltzmann transport equation for nano-scale TCAD

Autoren: Z. Stanojevic, M. Karner, O. Baumgartner, H. W. Karner, C. Kernstock, H. Demel, F. Mitterbauer
Veröffentlicht in: 2016 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), 2016, Seite(n) 65-67, ISBN 978-1-5090-0818-6
Herausgeber: IEEE
DOI: 10.1109/SISPAD.2016.7605149

Vertically stacked nanowire MOSFETs for sub-10nm nodes: Advanced topography, device, variability, and reliability simulations

Autoren: M. Karner, O. Baumgartner, Z. Stanojevic, F. Schanovsky, G. Strof, C. Kernstock, H. W. Karner, G. Rzepa, T. Grasset
Veröffentlicht in: 2016 IEEE International Electron Devices Meeting (IEDM), 2016, Seite(n) 30.7.1-30.7.4, ISBN 978-1-5090-3902-9
Herausgeber: IEEE
DOI: 10.1109/IEDM.2016.7838516

Towards physics-based DTCO for performance of advanced technology nodes

Autoren: Z. Stanojevic, O. Baumgartner, M. Karner, C. Kernstock, H. W. Karner, H. Demel, G. Strof, F. Mitterbauer
Veröffentlicht in: 2017 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), 2017, Seite(n) 245-248, ISBN 978-4-86348-610-2
Herausgeber: IEEE
DOI: 10.23919/SISPAD.2017.8085310

TCAD-based characterization of logic cells: Power, performance, area, and variability

Autoren: HW. Karner, C. Kernstock, Z. Stanojevic, O. Baumgartner, F. Schanovsky, M. Karner, D. Helms, R. Eilers, M. Metzdorf
Veröffentlicht in: 2017 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA), 2017, Seite(n) 1-2, ISBN 978-1-5090-5805-1
Herausgeber: IEEE
DOI: 10.1109/VLSI-TSA.2017.7942453

22nm FDSOI technology for emerging mobile, Internet-of-Things, and RF applications

Autoren: R. Carter, J. Mazurier, L. Pirro, J-U. Sachse, P. Baars, J. Faul, C. Grass, G. Grasshoff, P. Javorka, T. Kammler, A. Preusse, S. Nielsen, T. Heller, J. Schmidt, H. Niebojewski, P-Y. Chou, E. Smith, E. Erben, C. Metze, C. Bao, Y. Andee, I. Aydin, S. Morvan, J. Bernard, E. Bourjot, T. Feudel, D. Harame, R. Nelluri, H.-J. Thees, L. M-Meskamp, J. Kluth, R. Mulfinger, M. Rashed, R. Taylor, C. Weintraub
Veröffentlicht in: 2016 IEEE International Electron Devices Meeting (IEDM), 2016, Seite(n) 2.2.1-2.2.4, ISBN 978-1-5090-3902-9
Herausgeber: IEEE
DOI: 10.1109/IEDM.2016.7838029

Out-of-equilibrium body potential measurements in pseudo-MOSFET for biosensing

Autoren: Licinius Benea, Maryline Bawedin, Cecile Delacour, Sorin Cristoloveanu, Irina Ionica
Veröffentlicht in: 2017 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), 2017, Seite(n) 19-22, ISBN 978-1-5090-5313-1
Herausgeber: IEEE
DOI: 10.1109/ULIS.2017.7962590

BTI induced dispersion: Challenges and opportunities for SRAM bit cell optimization

Autoren: F. Cacho, A. Cros, X. Federspiel, V. Huard, C. Roma
Veröffentlicht in: 2016 IEEE International Reliability Physics Symposium (IRPS), 2016, Seite(n) 7C-1-1-7C-1-6, ISBN 978-1-4673-9137-5
Herausgeber: IEEE
DOI: 10.1109/IRPS.2016.7574581

Localized STRASS Technique on Advanced FDSOI Platform: Highly Tensile Si Demonstration for Dual Strain CMOS Integration

Autoren: A. Bonnevialle, S. Reboh, C. Le Royer, Y. Morand, J.-M. Hartmann, D. Rouchon, J.-M. Pedini, C. Tabone, N. Rambal, A. Payet, C. Plantier, F. Boeuf, M. Haond, A. Claverie, M. Vinet
Veröffentlicht in: 2016
Herausgeber: E-MRS 2016 (European Material Research Society)

A New Method to Induce Local Tensile Strain in SOI Wafers: First Strain Results of the “BOX Creep” Technique”

Autoren: A. Bonnevialle, C. Le Royer, Y. Morand, S. Reboh, J.-M. Pédini, A. Roule, D. Marseilhan, P. Besson, D. Rouchon, N. Bernier, C. Tabone, C. Plantier, M. Vinet,
Veröffentlicht in: 2015
Herausgeber: 2015 International Conference on Solid State Devices and Materials (SSDM)

Ω-Gate Nanowire Transistors Realized by Sidewall Image Transfer Patterning: 35nm channel pitch and opportunities for stacked-Nanowires architectures

Autoren: L. Gaben, S. Barraud, P. Pimenta-Barros, Y. Morand, J. Pradelles, M.-P. Samson, B. Previtali, P. Besson, F. Allain, S. Monfray, F. Boeuf, T. Skotnicki, F. Balestra3 and M. Vine
Veröffentlicht in: 2015
Herausgeber: 2015 International Conference on Solid State Devices and Materials (SSDM)

Fast and Accurate solution of inverse problem for in-line monitoring of strain field determined by High Resolution X-Ray Diffraction Reciprocal Space Mapping

Autoren: A DURAND, M KAUFLING, D LE-CUNFF, D ROUCHON, P GERGAUD 
Veröffentlicht in: 2016
Herausgeber: XTOP 2016 - 13th Biennial Conference on High-Resolution X-Ray Diffraction and Imaging

Design Technology Co-Optimization of 3D-monolithic standard cells and SRAM exploiting dynamic back-bias for ultra-low-voltage operation

Autoren: F. Andrieu, R. Berthelon, R. Boumchedda, G. Tricaud, L. Brunet, P. Batude, B. Mathieu, E. Avelar, A. Ayres de Sousa, G. Cibrario, O. Rozeau, J. Lacord, O. Billoint, C. Fenouillet-Béranger, S. Guissi, D. Fried, P. Morin, J.P. Noel, B. Giraud, S. Thuries, F. Arnaud, M. Vinet
Veröffentlicht in: 2017
Herausgeber:  Electron Devices Meeting (IEDM), 2017 IEEE International

“Material and Device Innovation for Cost Effective CMOS Scaling Beyond 28nm”

Autoren: W. Schwarzenbach, F. Allibert, C. Figuet, C. Girard and C. Maleville
Veröffentlicht in: 2016
Herausgeber: ETCMOS Conference, 2016 (Emerging Technologies Communications Microsystems Optoelectronics)

“Beyond Silicon CMOS: Progress and challenges”

Autoren: B.-Y. Nguyen, M.Sadaka, G.Gaudin, W. Schwarzenbach, K.Boudelle,C.Figuet and C.Maleville
Veröffentlicht in: 2016
Herausgeber: CS ManTech Conference, 2016 (Compound Semiconductor Manufacturing Technology)

“Investigation of Hot Carrier reliability of SOI and sSOI transistors using Back Bias”

Autoren: G. Besnard, X. Garros, A. Subirats, F. Andrieu, X. Federspiel, M. Rafik, W. Schwarzenbach, G. Reimbold, O. Faynot, S. Cristoloveanu and C. Mazure
Veröffentlicht in: 2015
Herausgeber: VLSI-TSA Conference 2015 - International Symposium on VL Technology, Systems and Applications

“P-FET Co-Integration on Si and Strained SiGe Dual Channel Substrates Obtained by Ge Local Enrichment Technique”

Autoren: Nguyen, S. Barraud, L. Hutin, C. Tabone, F. Glowacki, J.-M. Hartmann, M.-P. Samson†, L. Ecarnot, B.-Y. Nguyen¤, C. Maleville, C. Mazuré, O. Faynot, M. Vinet
Veröffentlicht in: 2015
Herausgeber: IWNA Conference 2015

“High Mobility Materials on Insulator for Advanced Technology Nodes”

Autoren: J. Widieza, F. Mazena, J-M. Hartmanna, Y. Bogumilowicza, E. Augendrea, C. Veytizoub, S. Solliera, M. Martinc, M-C. Rourea, V. Loupa, C. Euvrada, A. Seignarda, T. Baronc, R. Ciproc, F. Bassanic, A-M. Papona, C. Guedja, I. Huyetb, M. Rivoirea, P. Bessona, C. Figuetb, W. Schwarzenbachb, D. Delpratb and T. Signamarcheixa
Veröffentlicht in: 2015
Herausgeber: ECS Chicago 2015 (Electrochemical Society Chicago Meeting)

“Designing with FD-SOI“ & “Interconnected World”.

Autoren: J. Kunkel
Veröffentlicht in: 2015
Herausgeber: SOITEC

“Substrate maturity+D61:M61+D61:M61 and readiness in large volume to support mass adoption of ULP FDSOI platforms”

Autoren: C.Maleville
Veröffentlicht in: 2016
Herausgeber: The annual SOI Silicon Valle

“Designing with FD-SOI Technologies”

Autoren: C.Maleville
Veröffentlicht in: 2017
Herausgeber: FD-SOI ForumShanghai 2017, FD-SOI Design Tutorial, SOI Workshop & Tutorial

“How innovation and eco-system in Europe can enable future requirements in automotive electronics”

Autoren: N. Kernevez
Veröffentlicht in: 2015
Herausgeber: Semicon Europa

“Engineered substrates for low-power devices”

Autoren: T. Piliszczuk
Veröffentlicht in: 2015
Herausgeber: Semicon Europa

“Novel chip embedding and interconnection technology for mm-wave System-in-Package (SiP) applications,”

Autoren: C. Landesberger et al.
Veröffentlicht in: 2018
Herausgeber: 12th Smart Systems Integration Conference, 2018

“Slow-wave coplanar strip line based Low-power 80-GHz Voltage Control Oscillator on 22-nm FDSOI technology,”

Autoren: T. Lim et al.
Veröffentlicht in: 2017
Herausgeber: Proc. of 2017 Asia Pacific Microwave Conference (APMC)

Investigation of hot carrier reliability of SOI and strained SOI transistors using back bias

Autoren: G. Besnard, X. Garros, A. Subirats, F. Andrieu, X. Federspiel, M. Rafik, W. Schwarzenbach, G. Reimbold, O. Faynot, S. Cristoloveanu, C. Mazure
Veröffentlicht in: 2015 International Symposium on VLSI Technology, Systems and Applications, 2015, Seite(n) 1-2, ISBN 978-1-4799-7375-0
Herausgeber: IEEE
DOI: 10.1109/VLSI-TSA.2015.7117577

Performance and reliability of strained SOI transistors for advanced planar FDSOI technology

Autoren: G. Besnard, X. Garros, A. Subirats, F. Andrieu, X. Federspiel, M. Rafik, W. Schwarzenbach, G. Reimbold, O. Faynot, S. Cristoloveanu
Veröffentlicht in: 2015 IEEE International Reliability Physics Symposium, 2015, Seite(n) 2F.1.1-2F.1.5, ISBN 978-1-4673-7362-3
Herausgeber: IEEE
DOI: 10.1109/IRPS.2015.7112691

Systematic evaluation of SOI Buried Oxide reliability for partially depleted and fully depleted applications

Autoren: W. Schwarzenbach, C. Malaquin, F. Allibert, G. Besnard, B.-Y. Nguyen
Veröffentlicht in: 2015 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), 2015, Seite(n) 1-3, ISBN 978-1-5090-0259-7
Herausgeber: IEEE
DOI: 10.1109/S3S.2015.7333496

Analysis and modelling of temperature effect on DIBL in UTBB FD SOI MOSFETs

Autoren: A. S. N. Pereira, G. de Streel, N. Planes, M. Haond, R. Giacomini, D. Flandre, V. Kilchytska
Veröffentlicht in: 2016 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), 2016, Seite(n) 116-119, ISBN 978-1-4673-8609-8
Herausgeber: IEEE
DOI: 10.1109/ULIS.2016.7440066

Threshold voltage and on-current Variability related to interface traps spatial distribution

Autoren: V. Velayudhan, J. Martin-Martinez, M. Porti, C. Couso, R. Rodriguez, M. Nafria, X. Aymerich, C. Marquez, F. Gamiz
Veröffentlicht in: 2015 45th European Solid State Device Research Conference (ESSDERC), 2015, Seite(n) 230-233, ISBN 978-1-4673-7135-3
Herausgeber: IEEE
DOI: 10.1109/ESSDERC.2015.7324756

Electrical characterization of Random Telegraph Noise in back-biased Ultrathin Silicon-On-Insulator MOSFETs

Autoren: Carlos Marquez, Noel Rodriguez, Francisco Gamiz, Akiko Ohata
Veröffentlicht in: 2016 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), 2016, Seite(n) 40-43, ISBN 978-1-4673-8609-8
Herausgeber: IEEE
DOI: 10.1109/ULIS.2016.7440047

Impact of S/D tunneling in ultrascaled devices, a Multi-Subband Ensemble Monte Carlo study

Autoren: C. Medina-Bailon, C. Sampedro, F. Gamiz, A. Godoy, L. Donetti
Veröffentlicht in: 2015 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), 2015, Seite(n) 214-217, ISBN 978-1-4673-7860-4
Herausgeber: IEEE
DOI: 10.1109/SISPAD.2015.7292297

Multi-Subband Ensemble Monte Carlo simulation of Si nanowire MOSFETs

Autoren: Luca Donetti, Carlos Sampedro, Francisco Gamiz, Andres Godoy, Francisco J. Garcia-Ruiz, Ewan Towiez, Vihar P. Georgiev, Salvatore Maria Amoroso, Craig Riddet, Asen Asenov
Veröffentlicht in: 2015 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), 2015, Seite(n) 353-356, ISBN 978-1-4673-7860-4
Herausgeber: IEEE
DOI: 10.1109/SISPAD.2015.7292332

Confinement orientation effects in S/D tunneling

Autoren: C. Medina-Bailon, C. Sampedro, F. Gamiz, A. Godoy, L. Donetti
Veröffentlicht in: 2016 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), 2016, Seite(n) 100-103, ISBN 978-1-4673-8609-8
Herausgeber: IEEE
DOI: 10.1109/ULIS.2016.7440062

Physical modeling - A new paradigm in device simulation

Autoren: Z. Stanojevic, O. Baumgartner, F. Mitterbauer, H. Demel, C. Kernstock, M. Karner, V. Eyert, A. France-Lanord, P. Saxe, C. Freeman, E. Wimmer
Veröffentlicht in: 2015 IEEE International Electron Devices Meeting (IEDM), 2015, Seite(n) 5.1.1-5.1.4, ISBN 978-1-4673-9894-7
Herausgeber: IEEE
DOI: 10.1109/IEDM.2015.7409631

Interest of SiCO low k=4.5 spacer deposited at low temperature (400°C) in the perspective of 3D VLSI integration

Autoren: D. Benoit, J. Mazurier, B. Varadarajan, S. Chhun, S. Lagrasta, C. Gaumer, D. Galpin, C. Fenouillet-Beranger, D. Vo-Thanh, D. Barge, R. Duru, R. Beneyton, B. Gong, N. Sun, N. Chauvet, P. Ruault, D. Winandy, B. van Schravendijk, P. Meijer, O. Hinsinger
Veröffentlicht in: 2015 IEEE International Electron Devices Meeting (IEDM), 2015, Seite(n) 8.6.1-8.6.4, ISBN 978-1-4673-9894-7
Herausgeber: IEEE
DOI: 10.1109/IEDM.2015.7409656

Designing wit FD-SOI technologies

Autoren: C.Maleville
Veröffentlicht in: 2017
Herausgeber: Annual SOI Silicon Valley Symposium

Advanced CMOS Nodes (FDSOI, FinFET) usage for High Reliability Markets from Device and Design

Autoren: P.Gupta
Veröffentlicht in: 2016
Herausgeber: IRPS Conference

Engineered substrates for Moore and more than Moore's law: Device scaling: Entering the substrate era

Autoren: Christophe Maleville
Veröffentlicht in: 2015 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), 2015, Seite(n) 1-5, ISBN 978-1-5090-0259-7
Herausgeber: IEEE
DOI: 10.1109/S3S.2015.7333494

Low-variation SRAM bitcells in 22nm FDSOI technology

Autoren: V. Joshi, H. Ramamurthy, S. Balasubramanian, S. Seo, H. Yoon, X. Zou, N. Chan, J. Yun, T. Klick, E. Smith, J. Schmid, R. vanBentum, J. Faul, C. Weintraub
Veröffentlicht in: 2017 Symposium on VLSI Technology, 2017, Seite(n) T222-T223, ISBN 978-4-86348-605-8
Herausgeber: IEEE
DOI: 10.23919/VLSIT.2017.7998179

The FDSOI history and its future

Autoren: P.Flatresse
Veröffentlicht in: 2017
Herausgeber: IPSOC

Keynote - The FDSOI saga

Autoren: C.Mazure
Veröffentlicht in: 2017
Herausgeber: Minalogic

SU3200 use case to enable Angström level FDSOI uniformity all wafers all points

Autoren: N.Daval
Veröffentlicht in: 2017
Herausgeber: Semicon Europea

Low latency digital regenerator for dual polarization QAM signals

Autoren: Fred Buchali, Laurent Schmalen, Qian Hu, Di Che
Veröffentlicht in: 2015 European Conference on Optical Communication (ECOC), 2015, Seite(n) 1-3, ISBN 978-8-4608-1741-3
Herausgeber: IEEE
DOI: 10.1109/ECOC.2015.7341622

Preemphased Prime Frequency Multicarrier Bases ENOB Assessment and its Application for Optimizing a Dual-Carrier 1-Tb/s QAM Transmitter

Autoren: F.Buchali
Veröffentlicht in: 2016
Herausgeber: Pro OFC

Low-power inductorless RF receiver front-end with IIP2 calibration through body bias control in 28nm UTBB FDSOI

Autoren: Dajana Danilovic, Vladimir Milovanovic, Andreia Cathelin, Andrei Vladimirescu, Borivoje Nikolic
Veröffentlicht in: 2016 IEEE Radio Frequency Integrated Circuits Symposium (RFIC), 2016, Seite(n) 87-90, ISBN 978-1-4673-8651-7
Herausgeber: IEEE
DOI: 10.1109/RFIC.2016.7508257

A digital delay line with coarse/fine tuning through gate/body biasing in 28nm FDSOI

Autoren: Ilias Sourikopoulos, Antoine Frappe, Andreia Cathelin, Laurent Clavier, Andreas Kaiser
Veröffentlicht in: ESSCIRC Conference 2016: 42nd European Solid-State Circuits Conference, 2016, Seite(n) 145-148, ISBN 978-1-5090-2972-3
Herausgeber: IEEE
DOI: 10.1109/ESSCIRC.2016.7598263

A 0.0175mm 2 600µW 32kHz input 307MHz output PLL with 190ps<inf>rms</inf> jitter in 28nm FD-SOI

Autoren: Abhirup Lahiri, Nitin Gupta
Veröffentlicht in: ESSCIRC Conference 2016: 42nd European Solid-State Circuits Conference, 2016, Seite(n) 339-342, ISBN 978-1-5090-2972-3
Herausgeber: IEEE
DOI: 10.1109/ESSCIRC.2016.7598311

A digital sine-weighted switched-Gm mixer for single-clock power-scalable parallel receivers

Autoren: Reda Kasri, Eric Klumperink, Philippe Cathelin, Eric Toumier, Bram Nauta
Veröffentlicht in: 2017 IEEE Custom Integrated Circuits Conference (CICC), 2017, Seite(n) 1-4, ISBN 978-1-5090-5191-5
Herausgeber: IEEE
DOI: 10.1109/CICC.2017.7993644

RF/analog and mixed-signal design techniques in FD-SOI technology

Autoren: Andreia Cathelin
Veröffentlicht in: 2017 IEEE Custom Integrated Circuits Conference (CICC), 2017, Seite(n) 1-53, ISBN 978-1-5090-5191-5
Herausgeber: IEEE
DOI: 10.1109/CICC.2017.7993721

Class AB Base-Band Amplifier Design with Body Biasing in 28nm UTBB FD-SOI CMOS

Autoren: Mirjana Videnovic-Misic
Veröffentlicht in: 2017
Herausgeber: IEEE

“High Sigma Functional Qualification for Flipflops using MunEDA WiCkeD,”

Autoren: N. Ben Salem, R.K. Gupta
Veröffentlicht in: 2017
Herausgeber: MUGM 2017 (MunEDA Users Group Meeting)

Catalytic ALD of SiO2 as spacer for an E-Beam direct write self-aligned double patterning process on 300 mm wafers

Autoren: K. Kühnel, S. Riedel, W. Weinreich, X. Thrun, M. Czernohorsky, B. Pätzold, M. Rudolph
Veröffentlicht in: 2016
Herausgeber: 16th International Conference on Atomic Layer Deposition

Oxidation of Germanium at Low-temperature by Plasma Enhanced Processing

Autoren: W. Lerch, N. Sacher, W. Kegel, J. Niess, M. Czernohorsky
Veröffentlicht in: 2016
Herausgeber: 19th Workshop on Dielectrics in Microelectronic (WoDiM)

Cobalt MOCVD as a Flexible Process in Semiconductor Industry

Autoren: L. Gerlich, M. Wislicenus, B. Uhlig, S. Riedel, R. Liske
Veröffentlicht in: 2016
Herausgeber: Proc. Of Functional Integrated nanoSystems (nanoFIS 2016)

Selective Area Deposition of MOCVD Co for BEOL Capping Applications

Autoren: M. Wislicenus, T. Martin, L. Gerlich, B. Uhlig
Veröffentlicht in: 2016
Herausgeber: Proc. Of Area Selective Deposition Workshop (ASD 2016)

An in-depth analysis of temperature effect on DIBL in UTBB FD SOI MOSFETs based on experimental data, numerical simulations and analytical models

Autoren: A.S.N. Pereira, G. de Streel, N. Planes, M. Haond, R. Giacomini, D. Flandre, V. Kilchytska
Veröffentlicht in: Solid-State Electronics, Ausgabe 128, 2017, Seite(n) 67-71, ISSN 0038-1101
Herausgeber: Pergamon Press Ltd.
DOI: 10.1016/j.sse.2016.10.017

High-K metal gate stacks with ultra-thin interfacial layers formed by low temperature microwave-based plasma oxidation

Autoren: M. Czernohorsky, K. Seidel, K. Kühnel, J. Niess, N. Sacher, W. Kegel, W. Lerch
Veröffentlicht in: Microelectronic Engineering, Ausgabe 178, 2017, Seite(n) 262-265, ISSN 0167-9317
Herausgeber: Elsevier BV
DOI: 10.1016/j.mee.2017.05.041

In-line monitoring of strain distribution using high resolution X-ray Reciprocal space mapping into 20 nm SiGe pMOS

Autoren: Aurèle Durand, Melissa Kaufling, Delphine Le-Cunff, Denis Rouchon, Patrice Gergaud
Veröffentlicht in: Materials Science in Semiconductor Processing, Ausgabe 70, 2017, Seite(n) 99-104, ISSN 1369-8001
Herausgeber: Pergamon Press
DOI: 10.1016/j.mssp.2016.12.003

Benefits of XPS nanocharacterization for process development and industrial control of thin SiGe channel layers in advanced CMOS technologies

Autoren: L. Fauquier, B. Pelissier, D. Jalabert, F. Pierre, J.M. Hartmann, F. Rozé, D. Doloy, D. Le Cunff, C. Beitia, T. Baron
Veröffentlicht in: Materials Science in Semiconductor Processing, Ausgabe 70, 2017, Seite(n) 105-110, ISSN 1369-8001
Herausgeber: Pergamon Press
DOI: 10.1016/j.mssp.2016.10.028

Strain mapping of semiconductor specimens with nm-scale resolution in a transmission electron microscope

Autoren: David Cooper, Thibaud Denneulin, Nicolas Bernier, Armand Béché, Jean-Luc Rouvière
Veröffentlicht in: Micron, Ausgabe 80, 2016, Seite(n) 145-165, ISSN 0968-4328
Herausgeber: Pergamon Press Ltd.
DOI: 10.1016/j.micron.2015.09.001

Using Electron Diffraction Techniques, CBED and N-PED to measure Strain with High Precision and High Spatial Resolution

Autoren: J.L. Rouviere, Y. Martin, N. Bernier, M. Vigouroux, D. Cooper, J.M. Zuo
Veröffentlicht in: Microscopy and Microanalysis, Ausgabe 21/S3, 2015, Seite(n) 2209-2210, ISSN 1431-9276
Herausgeber: Cambridge University Press
DOI: 10.1017/S1431927615011824

Nanoscale Strain Mapping in Embedded SiGe Devices by Dual Lens Dark Field Electron Holography and Precession Electron Diffraction

Autoren: Y. Y. Wang, D. Cooper, J. Rouviere, C.E. Murray, N. Bernier, J. Bruley
Veröffentlicht in: Microscopy and Microanalysis, Ausgabe 21/S3, 2015, Seite(n) 1963-1964, ISSN 1431-9276
Herausgeber: Cambridge University Press
DOI: 10.1017/S1431927615010594

Combining 2 nm Spatial Resolution and 0.02% Precision for Deformation Mapping of Semiconductor Specimens in a Transmission Electron Microscope by Precession Electron Diffraction

Autoren: David Cooper, Nicolas Bernier, Jean-Luc Rouvière
Veröffentlicht in: Nano Letters, Ausgabe 15/8, 2015, Seite(n) 5289-5294, ISSN 1530-6984
Herausgeber: American Chemical Society
DOI: 10.1021/acs.nanolett.5b01614

Strain mapping at the nanoscale using precession electron diffraction in transmission electron microscope with off axis camera

Autoren: M. P. Vigouroux, V. Delaye, N. Bernier, R. Cipro, D. Lafond, G. Audoit, T. Baron, J. L. Rouvière, M. Martin, B. Chenevier, F. Bertin
Veröffentlicht in: Applied Physics Letters, Ausgabe 105/19, 2014, Seite(n) 191906, ISSN 0003-6951
Herausgeber: American Institute of Physics
DOI: 10.1063/1.4901435

Characterization and modelling of layout effects in SiGe channel pMOSFETs from 14nm UTBB FDSOI technology

Autoren: R. Berthelon, F. Andrieu, S. Ortolland, R. Nicolas, T. Poiroux, E. Baylac, D. Dutartre, E. Josse, A. Claverie, M. Haond
Veröffentlicht in: Solid-State Electronics, Ausgabe 128, 2017, Seite(n) 72-79, ISSN 0038-1101
Herausgeber: Pergamon Press Ltd.
DOI: 10.1016/j.sse.2016.10.011

Implementation of Band-to-Band Tunneling Phenomena in a Multisubband Ensemble Monte Carlo Simulator: Application to Silicon TFETs

Autoren: Cristina Medina-Bailon, Jose L. Padilla, Carlos Sampedro, Cem Alper, Francisco Gamiz, Adrian Mihai Ionescu
Veröffentlicht in: IEEE Transactions on Electron Devices, Ausgabe 64/8, 2017, Seite(n) 3084-3091, ISSN 0018-9383
Herausgeber: Institute of Electrical and Electronics Engineers
DOI: 10.1109/TED.2017.2715403

Insights on the Body Charging and Noise Generation by Impact Ionization in Fully Depleted SOI MOSFETs

Autoren: Carlos Marquez, Noel Rodriguez, Francisco Gamiz, Akiko Ohata
Veröffentlicht in: IEEE Transactions on Electron Devices, Ausgabe 64/12, 2017, Seite(n) 5093-5098, ISSN 0018-9383
Herausgeber: Institute of Electrical and Electronics Engineers
DOI: 10.1109/TED.2017.2762733

Systematic method for electrical characterization of random telegraph noise in MOSFETs

Autoren: Carlos Marquez, Noel Rodriguez, Francisco Gamiz, Akiko Ohata
Veröffentlicht in: Solid-State Electronics, Ausgabe 128, 2017, Seite(n) 115-120, ISSN 0038-1101
Herausgeber: Pergamon Press Ltd.
DOI: 10.1016/j.sse.2016.10.031

The Measurement of Strain, Chemistry and Electric Fields by STEM based Techniques

Autoren: J.-L. Rouviere, B. Haas, E. Robin, D. Cooper, N. Bernier, M. Williamson
Veröffentlicht in: Microscopy and Microanalysis, Ausgabe 23/S1, 2017, Seite(n) 1414-1415, ISSN 1431-9276
Herausgeber: Cambridge University Press
DOI: 10.1017/S1431927617007735

300 mm SiGe-On-Insulator Substrates with High Ge Content (70%) Fabricated Using the Smart Cut  Technology

Autoren: J. Widiez, C. Veytizou, J.-M. Hartmann, V. Loup, P. Besson, N. Baumel, C. Figuet, I. Huyet, F. Mazen, W. Schwarzenbach, C. Tempesta, L. Ecarnot
Veröffentlicht in: ECS Transactions, Ausgabe 75/8, 2016, Seite(n) 79-88, ISSN 1938-5862
Herausgeber: Electrochemical Society, Inc.
DOI: 10.1149/07508.0079ecst

Out-of-equilibrium body potential measurements in pseudo-MOSFET for sensing applications

Autoren: Licinius Benea, Maryline Bawedin, Cécile Delacour, Irina Ionica
Veröffentlicht in: Solid-State Electronics, 2017, ISSN 0038-1101
Herausgeber: Pergamon Press Ltd.
DOI: 10.1016/j.sse.2017.11.010

(Invited) Epitaxial Growth of Low Defect SiGe Buffer Layers for Integration of New Materials on 300 mm Silicon Wafers

Autoren: G. Kozlowski, O. Fursenko, P. Zaumseil, T. Schroeder, M. Vorderwestner, P. Storck
Veröffentlicht in: ECS Transactions, Ausgabe 50/9, 2013, Seite(n) 613-621, ISSN 1938-5862
Herausgeber: Electrochemical Society, Inc.
DOI: 10.1149/05009.0613ecst

Ultra-thin body & buried oxide SOI substrate development and qualification for Fully Depleted SOI device with back bias capability

Autoren: Walter Schwarzenbach, Bich-Yen Nguyen, Frederic Allibert, Christophe Girard, Christophe Maleville
Veröffentlicht in: Solid-State Electronics, Ausgabe 117, 2016, Seite(n) 2-9, ISSN 0038-1101
Herausgeber: Pergamon Press Ltd.
DOI: 10.1016/j.sse.2015.11.008

(Invited) Low-Temperature Microwave-Based Plasma Oxidation of Ge and Oxidation of Silicon Followed by Plasma Nitridation

Autoren: W. Lerch, T. Schick, N. Sacher, W. Kegel, J. Niess, M. Czernohorsky, S. Riedel
Veröffentlicht in: ECS Transactions, Ausgabe 72/4, 2016, Seite(n) 101-114, ISSN 1938-5862
Herausgeber: Electrochemical Society, Inc.
DOI: 10.1149/07204.0101ecst

Impact of non uniform strain configuration on transport properties for FD14+ devices

Autoren: C. Medina-Bailon, C. Sampedro, F. Gámiz, A. Godoy, L. Donetti
Veröffentlicht in: Solid-State Electronics, Ausgabe 115, 2016, Seite(n) 232-236, ISSN 0038-1101
Herausgeber: Pergamon Press Ltd.
DOI: 10.1016/j.sse.2015.08.013

Direct Characterization of Impact Ionization Current in Silicon-on-Insulator Body-Contacted MOSFETs

Autoren: C. Marquez, N. Rodriguez, J. M. Montes, R. Ruiz, F. Gamiz, C. Sampedro, A. Ohata
Veröffentlicht in: ECS Transactions, Ausgabe 66/5, 2015, Seite(n) 93-99, ISSN 1938-5862
Herausgeber: Electrochemical Society, Inc.
DOI: 10.1149/06605.0093ecst

Electrical characterization of Random Telegraph Noise in Fully-Depleted Silicon-On-Insulator MOSFETs under extended temperature range and back-bias operation

Autoren: Carlos Marquez, Noel Rodriguez, Francisco Gamiz, Rafael Ruiz, Akiko Ohata
Veröffentlicht in: Solid-State Electronics, Ausgabe 117, 2016, Seite(n) 60-65, ISSN 0038-1101
Herausgeber: Pergamon Press Ltd.
DOI: 10.1016/j.sse.2015.11.022

SleepTalker: A ULV 802.15.4a IR-UWB Transmitter SoC in 28-nm FDSOI Achieving 14 pJ/b at 27 Mb/s With Channel Selection Based on Adaptive FBB and Digitally Programmable Pulse Shaping

Autoren: Guerric de Streel, Francois Stas, Thibaut Gurne, Francois Durant, Charlotte Frenkel, Andreia Cathelin, David Bol
Veröffentlicht in: IEEE Journal of Solid-State Circuits, Ausgabe 52/4, 2017, Seite(n) 1163-1177, ISSN 0018-9200
Herausgeber: Institute of Electrical and Electronics Engineers
DOI: 10.1109/JSSC.2016.2645607

Fully Depleted Silicon on Insulator Devices CMOS: The 28-nm Node Is the Perfect Technology for Analog, RF, mmW, and Mixed-Signal System-on-Chip Integration

Autoren: Andreia Cathelin
Veröffentlicht in: IEEE Solid-State Circuits Magazine, Ausgabe 9/4, 2017, Seite(n) 18-26, ISSN 1943-0582
Herausgeber: Institute of Electrical and Electronics Engineers
DOI: 10.1109/MSSC.2017.2745738

All Operation Region Characterization and Modeling of Drain and Gate Current Mismatch in 14-nm Fully Depleted SOI MOSFETs

Autoren: Theano A. Karatsori, Christoforos G. Theodorou, Emmanuel Josse, Charalabos A. Dimitriadis, G. Ghibaudo
Veröffentlicht in: IEEE Transactions on Electron Devices, Ausgabe 64/5, 2017, Seite(n) 2080-2085, ISSN 0018-9383
Herausgeber: Institute of Electrical and Electronics Engineers
DOI: 10.1109/TED.2017.2686381

Study of Hot-Carrier-Induced Traps in Nanoscale UTBB FD-SOI MOSFETs by Low-Frequency Noise Measurements

Autoren: Theano A. Karatsori, Christoforos G. Theodorou, Xavier Mescot, Sebastien Haendler, Nicolas Planes, Gerard Ghibaudo, Charalabos A. Dimitriadis
Veröffentlicht in: IEEE Transactions on Electron Devices, 2016, Seite(n) 1-7, ISSN 0018-9383
Herausgeber: Institute of Electrical and Electronics Engineers
DOI: 10.1109/TED.2016.2583504

Characterization and industrial control of local stress in microelectronics: - Applications to advanced transistors technology of 20 nm

Autoren: A.Durand
Veröffentlicht in: 2016
Herausgeber: School of PhD Grenoble

Suche nach OpenAIRE-Daten ...

Bei der Suche nach OpenAIRE-Daten ist ein Fehler aufgetreten

Es liegen keine Ergebnisse vor