CORDIS - Forschungsergebnisse der EU
CORDIS

Seven Nanometer Technology

Veröffentlichungen

Attenuated phase shift mask for extreme ultraviolet: can they mitigate three-dimensional mask effects?

Autoren: A. Erdmann, P. Evanschitzky, H. Mezilhy, V. Philipsen, E. Hendrickx, M. Bauer
Veröffentlicht in: Journal of Micro/Nanolithography, MEMS, and MOEMS, Ausgabe 18/01, 2019, Seite(n) 1, ISSN 1932-5150
Herausgeber: S P I E - International Society for Optical Engineering
DOI: 10.1117/1.jmm.18.1.011005

Advanced EUV mask and imaging modeling

Autoren: Peter Evanschitzky, Andreas Erdmann
Veröffentlicht in: Journal of Micro/Nanolithography, MEMS, and MOEMS, Ausgabe 16/04, 2017, Seite(n) 1, ISSN 1932-5150
Herausgeber: S P I E - International Society for Optical Engineering
DOI: 10.1117/1.jmm.16.4.041005

Characterization and mitigation of 3D mask effects in extreme ultraviolet lithography

Autoren: Andreas Erdmann, Dongbo Xu, Peter Evanschitzky, Vicky Philipsen, Vu Luong, Eric Hendrickx
Veröffentlicht in: Advanced Optical Technologies, Ausgabe 6/3-4, 2017, ISSN 2192-8584
Herausgeber: De Gruyter
DOI: 10.1515/aot-2017-0019

Correlated diffuse x-ray scattering from periodically nanostructured surfaces

Autoren: V. Soltwisch, A. Haase, J. Wernecke, J. Probst, M. Schoengen, S. Burger, M. Krumrey, F. Scholze
Veröffentlicht in: Physical Review B, Ausgabe 94/3, 2016, ISSN 2469-9950
Herausgeber: The American Physical Society
DOI: 10.1103/PhysRevB.94.035419

High Mobility Materials on Insulator for Advanced Technology Nodes

Autoren: W. Schwarzenbach, C. Figuet, D. Delprat, C. Veytizou, I. Huyet, C. Tempesta, L. Ecarnot, J. Widiez, V. Loup, J.-M. Hartmann, P. Besson, C. Deguet, F. Mazen, B.-Y. Nguyen, C. Maleville
Veröffentlicht in: ECS Transactions, Ausgabe 66/4, 2015, Seite(n) 31-37, ISSN 1938-5862
Herausgeber: Electrochemical Society, Inc.
DOI: 10.1149/06604.0031ecst

Characterizing electron beam induced damage in metrology and inspection of advance devices

Autoren: Violeta Navarro, Hamed Sadeghian, Abbas Mohtashami, Ilan Englard, Dror Shemesh, Nitin Singh Malik
Veröffentlicht in: 33rd European Mask and Lithography Conference, 2017, Seite(n) 17, ISBN 9781-510613577
Herausgeber: SPIE
DOI: 10.1117/12.2279707

Enabling sub-10nm node lithography: presenting the NXE:3400B EUV scanner

Autoren: Mark van de Kerkhof, Hans Jasper, Leon Levasier, Rudy Peeters, Roderik van Es, Jan-Willem Bosker, Alexander Zdravkov, Egbert Lenderink, Fabrizio Evangelista, Par Broman, Bartosz Bilski, Thorsten Last
Veröffentlicht in: Extreme Ultraviolet (EUV) Lithography VIII, 2017, Seite(n) 101430D
Herausgeber: SPIE
DOI: 10.1117/12.2258025

Diffuser concepts for in-situ wavefront measurements of EUV projection optics

Autoren: Mark A. van de Kerkhof, Uwe Zeitner, Torsten Feigl, Stefan Bäumer, Robbert Jan Voogd, Ad Schasfoort, Evert Westerhuis, Wouter Engelen, Manfred Dikkers, Yassin Chowdhury, Michael D. Kriese
Veröffentlicht in: Extreme Ultraviolet (EUV) Lithography IX, 2018, Seite(n) 24, ISBN 9781-510616592
Herausgeber: SPIE
DOI: 10.1117/12.2297433

EUV lithography industrialization progress

Autoren: Roderik van Es, Mark van de Kerkhof, Leon Levasier, Rudy Peeters, Hans Jasper
Veröffentlicht in: International Conference on Extreme Ultraviolet Lithography 2017, 2017, Seite(n) 2, ISBN 9781-510613751
Herausgeber: SPIE
DOI: 10.1117/12.2281184

EUV for HVM: towards and industrialized scanner for HVM NXE3400B performance update

Autoren: Mark A. van de Kerkhof, Arthur W. E. Minnaert, Marco Pieters, Hans Meiling, Joost Smits, Rudy Peeters, Roderik van Es, Geert Fisser, Jos W. de Klerk, Roel Moors, Eric Verhoeven, Leon Levasier
Veröffentlicht in: Extreme Ultraviolet (EUV) Lithography IX, 2018, Seite(n) 13, ISBN 9781-510616592
Herausgeber: SPIE
DOI: 10.1117/12.2299503

EUV lithography performance for manufacturing: status and outlook

Autoren: Alberto Pirati, Rudy Peeters, Daniel Smith, Sjoerd Lok, Martijn van Noordenburg, Roderik van Es, Eric Verhoeven, Henk Meijer, Arthur Minnaert, Jan-Willem van der Horst, Hans Meiling, Joerg Mallmann, Christian Wagner, Judon Stoeldraijer, Geert Fisser, Jo Finders, Carmen Zoldesi, Uwe Stamm, Herman Boom, David Brandt, Daniel Brown, Igor Fomenkov, Michael Purvis
Veröffentlicht in: Extreme Ultraviolet (EUV) Lithography VII, 2016, Seite(n) 97760A
Herausgeber: SPIE
DOI: 10.1117/12.2220423

NXE pellicle: offering a EUV pellicle solution to the industry

Autoren: Derk Brouns, Aage Bendiksen, Par Broman, Eric Casimiri, Paul Colsters, Peter Delmastro, Dennis de Graaf, Paul Janssen, Mark van de Kerkhof, Ronald Kramer, Matthias Kruizinga, Henk Kuntzel, Frits van der Meulen, David Ockwell, Maria Peter, Daniel Smith, Beatrijs Verbrugge, David van de Weg, Jim Wiley, Noelie Wojewoda, Carmen Zoldesi, Pieter van Zwol
Veröffentlicht in: Extreme Ultraviolet (EUV) Lithography VII, 2016, Seite(n) 97761Y
Herausgeber: SPIE
DOI: 10.1117/12.2221909

The future of EUV lithography: enabling Moore's Law in the next decade

Autoren: Alberto Pirati, Jan van Schoot, Kars Troost, Rob van Ballegoij, Peter Krabbendam, Judon Stoeldraijer, Erik Loopstra, Jos Benschop, Jo Finders, Hans Meiling, Eelco van Setten, Niclas Mika, Jeannot Dredonx, Uwe Stamm, Bernhard Kneer, Bernd Thuering, Winfried Kaiser, Tilmann Heil, Sascha Migura
Veröffentlicht in: Extreme Ultraviolet (EUV) Lithography VIII, 2017, Seite(n) 101430G
Herausgeber: SPIE
DOI: 10.1117/12.2261079

The future of EUV lithography: continuing Moore's Law into the next decade

Autoren: Jan van Schoot, Kars Troost, Frank Bornebroek, Rob van Ballegoij, Sjoerd Lok, Peter Krabbendam, Judon Stoeldraijer, Jos Benschop, Jo Finders, Hans Meiling, Eelco van Setten, Bernhard Kneer, Peter Kuerz, Winfried Kaiser, Tilmann Heil, Sascha Migura
Veröffentlicht in: Extreme Ultraviolet (EUV) Lithography IX, 2018, Seite(n) 23, ISBN 9781-510616592
Herausgeber: SPIE
DOI: 10.1117/12.2295800

Contrast optimization for 0.33NA EUV lithography

Autoren: Jo Finders, Sander Wuister, Thorsten Last, Gijsbert Rispens, Eleni Psari, Jan Lubkoll, Eelco van Setten, Friso Wittebrood
Veröffentlicht in: Extreme Ultraviolet (EUV) Lithography VII, 2016, Seite(n) 97761P
Herausgeber: SPIE
DOI: 10.1117/12.2220036

EUV High-NA scanner and mask optimization for sub 8 nm resolution

Autoren: Jan van Schoot, Koen van Ingen Schenau, Gerardo Bottiglieri, Kars Troost, John Zimmerman, Sascha Migura, Bernhard Kneer, Jens Timo Neumann, Winfried Kaiser
Veröffentlicht in: Photomask Technology 2015, 2015, Seite(n) 963503
Herausgeber: SPIE
DOI: 10.1117/12.2202258

First light at EBL2

Autoren: Norbert Koster, Edwin te Sligte, Freek Molkenboer, Alex Deutz, Peter van der Walle, Pim Muilwijk, Wouter Mulckhuyse, Bastiaan Oostdijck, Christiaan Hollemans, Björn Nijland, Peter Kerkhof, Michel van Putten, Jeroen Westerhout
Veröffentlicht in: Extreme Ultraviolet (EUV) Lithography VIII, 2017, Seite(n) 101431N
Herausgeber: SPIE
DOI: 10.1117/12.2257997

EUV mask lifetime testing using EBL2

Autoren: Chien-Ching Wu, Maurice P.M. A. Limpens, Jacqueline van Veldhoven, Herman Bekman, Alex Deutz, Edwin te Sligte, Arnold J. Storm, Michel van Putten
Veröffentlicht in: Extreme Ultraviolet (EUV) Lithography IX, 2018, Seite(n) 33, ISBN 9781-510616592
Herausgeber: SPIE
DOI: 10.1117/12.2297369

Ultra Clean sample transportation in an EUV exposure system

Autoren: F.T. Molkenboer, N.B. Koster, A.F. Deutz, B.A.H. Nijland, P.J. Kerkhof, P.M. Muilwijk, B.W. Oostdijck, J. Westerhout, C.L. Hollemans, W.F.W. Mulckhuyse, M. van Putten, P. van der Walle, A.M. Hoogstrate, J.R.H. Diesveld, A. Abutan
Veröffentlicht in: AVS Proceedings, Ausgabe 64, 2017, Seite(n) VT-WeM3
Herausgeber: AVS

EBL2: realization and qualification of an EUV exposure system

Autoren: Michel van Putten, N.B. Koster, A.F. Deutz, B.A.H. Nijland, P.J. Kerkhof, P.M. Muilwijk, B.W. Oostdijck, J. Westerhout, C.L. Hollemans, E. te Sligte, W.F.W. Mulckhuyse, F.T. Molkenboer, A.M. Hoogstrate, P. van der Walle, J.R.H. Diesveld, A. Abutan
Veröffentlicht in: AVS Proceedings, Ausgabe 64, 2017, Seite(n) VT-TuM11
Herausgeber: AVS

Characterization of EBL2 EUV exposure facility

Autoren: Edwin te Sligte, Michel van Putten, Freek T. Molkenboer, Peter van der Walle, Pim M. Muilwijk, Norbert B. Koster, Jeroen Westerhout, Peter J. Kerkhof, Bastiaan W. Oostdijck, Alex F. Deutz, Wouter Mulckhuyse
Veröffentlicht in: International Conference on Extreme Ultraviolet Lithography 2017, 2017, Seite(n) 78, ISBN 9781-510613751
Herausgeber: SPIE
DOI: 10.1117/12.2280356

First light and results on EBL2

Autoren: Norbert Koster, Edwin te Sligte, Alex Deutz, Freek Molkenboer, Pim Muilwijk, Peter van der Walle, Wouter Mulckhuyse, Bjorn Nijland, Peter Kerkhof, Michel van Putten
Veröffentlicht in: Photomask Japan 2017: XXIV Symposium on Photomask and Next-Generation Lithography Mask Technology, 2017, Seite(n) 104540O
Herausgeber: SPIE
DOI: 10.1117/12.2279025

Latest developments on EUV reticle and pellicle research and technology at TNO

Autoren: Rogier Verberk, Norbert Koster, Wilbert Staring, Edwin te Sligte
Veröffentlicht in: 33rd European Mask and Lithography Conference, 2017, Seite(n) 10, ISBN 9781-510613577
Herausgeber: SPIE
DOI: 10.1117/12.2279672

Optimized phase-shifting masks for high-resolution resist patterning by interference lithography

Autoren: Sascha Brose, Serhiy Danylyuk, Lukas Bahrenberg, Peter Loosen, Larissa Juschkin, Rainer Lebert
Veröffentlicht in: International Conference on Extreme Ultraviolet Lithography 2017, 2017, Seite(n) 81, ISBN 9781-510613751
Herausgeber: SPIE
DOI: 10.1117/12.2280582

Analysis of distinct scattering of extreme ultraviolet phase and amplitude multilayer defects with an actinic dark-field microscope

Autoren: Lukas Bahrenberg, Stefan Herbert, Jenny Tempeler, Aleksey Maryasov, Oskar Hofmann, Serhiy Danylyuk, Rainer Lebert, Peter Loosen, Larissa Juschkin
Veröffentlicht in: Extreme Ultraviolet (EUV) Lithography VI, 2015, Seite(n) 942229
Herausgeber: SPIE
DOI: 10.1117/12.2085929

Vertically stacked gate-all-around Si nanowire transistors: key process optimizations and ring oscillator demonstration

Autoren: Mertens, H.; Ritzenthaler, R.; Pena, V.; Santoro, G.; Kenis, K.; Schulze, A.; Dentoni Litta, E.; Chew, S.; Devriendt, K.; Chiarella, T.; Demuynck, S.; Yakimets, D.; Jang, D.; Spessot, A.; Eneman, G.; Dangol, A.; Lagrain, P.; Bender, H.; Sun, S.; Korolik, M.; Kioussis, D.; Kim, M.; Bu, K.; Chen, S.; Cogorno, M.; Devrajan, J.; Machillot, J.; Yoshida, N.; Kim, N.; Barla, K.; Mocuta, D. and Horiguchi,
Veröffentlicht in: 2017
Herausgeber: IEEE International Electron Devices Meeting - IEDM

Attenuated PSM for EUV: Can they mitigate 3D mask effects?

Autoren: Andreas Erdmann, Peter Evanschitzky, Vicky Philipsen, Markus Bauer, Eric Hendrickx, Hazem Mesilhy
Veröffentlicht in: Extreme Ultraviolet (EUV) Lithography IX, 2018, Seite(n) 35, ISBN 9781-510616592
Herausgeber: SPIE
DOI: 10.1117/12.2299648

Efficient simulation of EUV pellicles

Autoren: Peter Evanschitzky, A. Erdmann
Veröffentlicht in: International Conference on Extreme Ultraviolet Lithography 2017, 2017, Seite(n) 11, ISBN 9781-510613751
Herausgeber: SPIE
DOI: 10.1117/12.2280535

Polarization resolved measurements with the new EUV ellipsometer of PTB

Autoren: Victor Soltwisch, Andreas Fischer, Christian Laubis, Christian Stadelhoff, Frank Scholze, Albrecht Ullrich
Veröffentlicht in: Extreme Ultraviolet (EUV) Lithography VI, 2015, Seite(n) 942213
Herausgeber: SPIE
DOI: 10.1117/12.2085798

Characterization of optical material parameters for EUV Lithography applications at PTB

Autoren: Christian Laubis, Anton Haase, Victor Soltwisch, Frank Scholze
Veröffentlicht in: 31st European Mask and Lithography Conference, 2015, Seite(n) 96610W
Herausgeber: SPIE
DOI: 10.1117/12.2195009

Innovative scatterometry approach for self-aligned quadruple patterning (SAQP) process control

Autoren: Anil Gunay Demirkol, Efrain Altamirano-Sánchez, IMEC (Belgium); Stephane Heraud, Nova Measuring Instruments GmbH (Germany); Stephane Godny, Anne-Laure Charley, Philippe Leray, IMEC (Belgium); Ronen Urenski, Oded Cohen, Igor Turovets, Shay Wolfling, Nova Measuring Instruments Ltd. (Israel)
Veröffentlicht in: SPIE Advanced Lithography 2016, Ausgabe 2016, 2016, Seite(n) 60,61
Herausgeber: SPIE

Realization of an in-situ Mueller-matrix imaging ellipsometer for the real time observation of surface properties in an ultra-high vacuum EUV facility

Autoren: P. Muilwijk
Veröffentlicht in: AVS Proceedings, Ausgabe 63, 2016, Seite(n) "Paper #4224"
Herausgeber: AVS

EBL2, a flexible, controlled EUV exposure and surface analysis facility

Autoren: Edwin te Sligte, Norbert Koster, Freek Molkenboer, Alex Deutz
Veröffentlicht in: Photomask Japan 2016: XXIII Symposium on Photomask and Next-Generation Lithography Mask Technology, 2016, Seite(n) 99840R
Herausgeber: SPIE
DOI: 10.1117/12.2240302

EBL2: high power EUV exposure facility

Autoren: Edwin te Sligte, Norbert Koster, Freek Molkenboer, Peter van der Walle, Pim Muilwijk, Wouter Mulckhuyse, Bastiaan Oostdijck, Christiaan Hollemans, Björn Nijland, Peter Kerkhof, Michel van Putten, André Hoogstrate, Alex Deutz
Veröffentlicht in: Photomask Technology 2016, 2016, Seite(n) 998520
Herausgeber: SPIE
DOI: 10.1117/12.2240921

Realisation of a vacuum system of an EUV exposure system Presentation

Autoren: F. Molkenboer
Veröffentlicht in: AVS Proceedings, Ausgabe 63, 2016, Seite(n) "Paper #3725"
Herausgeber: AVS

A million wafer, virtual fabrication approach to determine process capability requirements for an industry-standard 5nm BEOL two-level metal flow

Autoren: W. F. Clark, A. Juncker, E. Paladugu, D. Fried, C. J. Wilson, G. Pourtois, M. Gallagher, A. De Jamblinne, D. Piumi, J. Boemmels, Z. S. Tokei, D. Mocuta
Veröffentlicht in: 2016 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), 2016, Seite(n) 43-46, ISBN 978-1-5090-0818-6
Herausgeber: IEEE
DOI: 10.1109/SISPAD.2016.7605144

Modeling of Tone Inversion Process Flow for N5 Interconnect to Characterize Block Tip to Tip

Autoren: S. Guissi, W. F. Clark, A. Junker, J. Ervin, K. Greiner, D. Fried, B. Briggs, K. Devriendt, F. Sebaai, A. Charley, C. J. Wilson, J. Boemmels, Z. Tőkei
Veröffentlicht in: INTERNATIONAL INTERCONNECT TECHNOLOGY CONFERENCE, Ausgabe 2017, 2017
Herausgeber: IEEE

Introducing the EUV CNT pellicle

Autoren: Jae Uk Lee, Johannes Vanpaemel, Ivan Pollentier, Christoph Adelmann, Houman Zahedmanesh, Cedric Huyghebaert, Marina Timmermans, Michael De Volder, Emily Gallagher
Veröffentlicht in: Photomask Technology 2016, Ausgabe 99850C (25 October 2016), 2016, Seite(n) 99850C
Herausgeber: SPIE
DOI: 10.1117/12.2243019

Novel membrane solutions for the EUV pellicle: better or not?

Autoren: Ivan Pollentier, Jae Uk Lee, Marina Timmermans, Christoph Adelmann, Houman Zahedmanesh, Cedric Huyghebaert, Emily E. Gallagher
Veröffentlicht in: Extreme Ultraviolet (EUV) Lithography VIII, Ausgabe 01430L (24 March 2017), 2017, Seite(n) 101430L
Herausgeber: SPIE
DOI: 10.1117/12.2257891

Reducing EUV mask 3D effects by alternative metal absorbers

Autoren: Vicky Philipsen, Kim Vu Luong, Laurent Souriau, Eric Hendrickx, Andreas Erdmann, Dongbo Xu, Peter Evanschitzky, Robbert W. E. van de Kruijs, Arash Edrisi, Frank Scholze, Christian Laubis, Mathias Irmscher, Sandra Naasz, Christian Reuter
Veröffentlicht in: Extreme Ultraviolet (EUV) Lithography VIII, 2017, Seite(n) 1014310
Herausgeber: SPIE
DOI: 10.1117/12.2257929

Mitigating EUV mask 3D effects by alternative metal absorbers

Autoren: Philipsen, V.; Luong, V.; Hendrickx, E.; Erdmann, A.; Dongbo, X.; Evanschitzky, P.; van de Kruijs, R.; Edrisi, A.; Scholze, F.; Laubis, C.; Irmscher, M. and Naasz, S.
Veröffentlicht in: 2016
Herausgeber: International Symposium on Extreme Ultraviolet Lithography

Optimized EUV mask absorber stack for improved imaging by reducing roughness and crystallinity of alternative absorber materials

Autoren: Luong, V.; Philipsen, V.; Hendrickx, E.; Scholze, F.; van de Kruijs, R.; Edrisi, A.; Wood, O. and Heyns, M.
Veröffentlicht in: 2016
Herausgeber: International Symposium on Extreme Ultraviolet Lithography

RMG nMOS 1<sup>st</sup> process enabling 10x lower gate resistivity in N7 bulk FinFETs

Autoren: L.-A. Ragnarsson, H. Dekkers, T. Schram, S. A. Chew, B. Parvais, M. Dehan, K. Devriendt, Z. Tao, F. Sebaai, C. Baerts, S. Van Elshocht, N. Yoshida, A. Phatak, C. Lazik, A. Brand, W. Clark, D. Fried, D. Mocuta, K. Barla, N. Horiguchi, A. V.-Y. Thean
Veröffentlicht in: 2015 Symposium on VLSI Technology (VLSI Technology), 2015, Seite(n) T148-T149, ISBN 978-4-86348-501-3
Herausgeber: IEEE
DOI: 10.1109/VLSIT.2015.7223656

Layout optimization and trade-off between 193i and EUV-based patterning for SRAM cells to improve performance and process variability at 7nm technology node

Autoren: Sushil Sakhare, Darko Trivkovic, Tom Mountsier, Min-Soo Kim, Dan Mocuta, Julien Ryckaert, Abdelkarim Mercha, Diederik Verkest, Aaron Thean, Mircea Dusa
Veröffentlicht in: Design-Process-Technology Co-optimization for Manufacturability IX, 2015, Seite(n) 94270O
Herausgeber: SPIE
DOI: 10.1117/12.2086100

Self-aligned-quadruple-patterning for N7/N5 silicon fins

Autoren: Efrain Altamirano-Sánchez, Tao S. Zheng, Anil Gunay Demirkol, Gian F. Lorusso, Toby Hopf, Jean-Christophe Everat IMEC (Belgium), William Clark, Coventor (France); Daniel Sobieski, Fung-Suong Ou, Lam Research Corp. (United States); David Hellin, Lam Research (Belgium)
Veröffentlicht in: SPIE Advanced Lithigraphy 2016, 2016
Herausgeber: SPIE

Predicting LER and LWR in SAQP with 3D virtual fabrication

Autoren: Jiangjiang (Jimmy) Gu, Dalong Zhao, Vasanth Allampalli, Daniel Faken, Ken Greiner, David M. Fried
Veröffentlicht in: Advanced Etch Technology for Nanopatterning V, 2016, Seite(n) 97820N
Herausgeber: SPIE
DOI: 10.1117/12.2218929

EBL2: EUV exposure and surface analysis system

Autoren: E. te Sligte
Veröffentlicht in: EUVL Symposium, Ausgabe 2015, 2015
Herausgeber: EUVL Symposium

Sub 20nm particle inspection on EUV mask blanks

Autoren: P. Bussink
Veröffentlicht in: SPIE Advanced Lithography Proceedings, Ausgabe 2016, 2016, Seite(n) 9778-115
Herausgeber: SPIE

Parallel, high throughput atomic force metrology for EUV masks and wafers

Autoren: H. Sadeghian
Veröffentlicht in: SPIE Advanced Lithography Proceedings, Ausgabe 2016, 2016
Herausgeber: SPIE

EBL2, a flexible and controlled EUV exposure and surface analysis system

Autoren: E. te Sligte
Veröffentlicht in: PTB Workshop, Ausgabe 2015, 2015
Herausgeber: PTB Workshop

Vacuum Architecture of an EUV exposure system

Autoren: F. Molkenboer
Veröffentlicht in: AVS Proceedings, Ausgabe 62, 2015, Seite(n) Paper VT-WeM11
Herausgeber: AVS-62

EUV lithography imaging using novel pellicle membranes

Autoren: Ivan Pollentier, Johannes Vanpaemel, Jae Uk Lee, Christoph Adelmann, Houman Zahedmanesh, Cedric Huyghebaert, Emily E. Gallagher
Veröffentlicht in: Extreme Ultraviolet (EUV) Lithography VII, 2016, Seite(n) 977620
Herausgeber: SPIE
DOI: 10.1117/12.2220031

Properties and performance of EUVL pellicle membranes

Autoren: Emily E. Gallagher, Johannes Vanpaemel, Ivan Pollentier, Houman Zahedmanesh, Christoph Adelmann, Cedric Huyghebaert, Rik Jonckheere, Jae Uk Lee
Veröffentlicht in: Photomask Technology 2015, 2015, Seite(n) 96350X
Herausgeber: SPIE
DOI: 10.1117/12.2199076

Update on EUV radiometry at PTB

Autoren: Christian Laubis, Annett Barboutis, Christian Buchholz, Andreas Fischer, Anton Haase, Florian Knorr, Heiko Mentzel, Jana Puls, Anja Schönstedt, Michael Sintschuk, Victor Soltwisch, Christian Stadelhoff, Frank Scholze
Veröffentlicht in: Extreme Ultraviolet (EUV) Lithography VII, 2016, Seite(n) 977627
Herausgeber: SPIE
DOI: 10.1117/12.2218902

Characterization of Mo/Si mirror interface roughness for different Mo layer thickness using resonant diffuse EUV scattering

Autoren: Anton Haase, Victor Soltwisch, Frank Scholze, Stefan Braun
Veröffentlicht in: Optical Systems Design 2015: Optical Fabrication, Testing, and Metrology V, 2015, Seite(n) 962804
Herausgeber: SPIE
DOI: 10.1117/12.2191265

Realisation of a vacuum system for EUV beam line EBL2

Autoren: Freek Molkenboer, Norbert Koster, Alfred Abutan, Alex Deutz, Hans Diesveld, Christiaan Hollemans, Andre Hoogstrate, Peter Kerkhof, Pim Muilwijk, Wouter Mulckhuyse, Bjorn Nijland, Bastiaan Oostdijck, Michel van Putten, Edwin te Sligte, Peter van der Walle, Jeroen Westerhout
Veröffentlicht in: NEVAC Blad, Ausgabe 55 (3), 2017, Seite(n) p 18-23, ISSN 0169-9431
Herausgeber: NEVAC

Rechte des geistigen Eigentums

"""AIMER"" word mark"

Antrags-/Publikationsnummer: DE 30 2017 113 021.3
Datum: 2017-12-18
Antragsteller: RI RESEARCH INSTRUMENTS GMBH

Si/B membranes for emissive pellicles

Antrags-/Publikationsnummer: WO 2017102379 A1
Datum: 2016-12-02

Semiconductor device fabrication using etch stop layer

Antrags-/Publikationsnummer: US 20170213732 A1
Datum: 2017-07-27
Antragsteller: ASM BELGIUM NV

Process for forming silicon-filled openings with a reduced occurrence of voids

Antrags-/Publikationsnummer: US 20160141176 A1
Datum: 2016-05-19
Antragsteller: ASM BELGIUM NV

Engineering strategies for early pellicle failure warning and detection before failure occurs

Antrags-/Publikationsnummer: WO 2017102380 A1
Datum: 2016-12-02

Solutions for direct cooling of mirror in projection optics box to reduce optical surface deformations

Antrags-/Publikationsnummer: WO 2017153152 A1
Datum: 2017-02-17

Method and apparatus for filling a gap

Antrags-/Publikationsnummer: US 15222738
Datum: 2016-07-28
Antragsteller: ASM BELGIUM NV

Removeable pellicle mount with reduced footprint

Antrags-/Publikationsnummer: WO 2017102378 A1
Datum: 2016-12-02

Process for densifying nitride film

Antrags-/Publikationsnummer: US 20160079058 A1
Datum: 2016-03-17
Antragsteller: ASM BELGIUM NV

SiO2 mitigation

Antrags-/Publikationsnummer: WO 2016055330 A1
Datum: 2015-09-30

Process for forming silicon-filled openings with a reduced occurrence of voids

Antrags-/Publikationsnummer: US 20160141176 A1
Datum: 2016-05-19
Antragsteller: ASM EUROPE BV

Oxygen conducting oxide and oxidation barrier pellicle architecture

Antrags-/Publikationsnummer: WO 2017186486 A1
Datum: 2017-04-12

Alternative membrane manufacturing processes

Antrags-/Publikationsnummer: WO 2017102383
Datum: 2016-12-02

A method to measure plasma image position in lithography machine

Antrags-/Publikationsnummer: WO 2017125254 A1
Datum: 2017-01-02

Alternative pellicle manufacturing process using waferbonding

Antrags-/Publikationsnummer: WO 2017076686
Datum: 2016-10-25

SiO2 mitigation

Antrags-/Publikationsnummer: WO 2016055330 A1
Datum: 2015-09-30
Antragsteller: CARL ZEISS SMT GMBH

Method and apparatus for filling a gap

Antrags-/Publikationsnummer: US 20180033606 A1
Datum: 2018-02-01
Antragsteller: ASM BELGIUM NV

Suche nach OpenAIRE-Daten ...

Bei der Suche nach OpenAIRE-Daten ist ein Fehler aufgetreten

Es liegen keine Ergebnisse vor