European Commission logo
español español
CORDIS - Resultados de investigaciones de la UE
CORDIS

Technology Advances and Key Enablers for 5 nm

Publicaciones

Enabling sub-10nm node lithography: presenting the NXE:3400B EUV scanner

Autores: Mark van de Kerkhof, Hans Jasper, Leon Levasier, Rudy Peeters, Roderik van Es, Jan-Willem Bosker, Alexander Zdravkov, Egbert Lenderink, Fabrizio Evangelista, Par Broman, Bartosz Bilski, Thorsten Last
Publicado en: Extreme Ultraviolet (EUV) Lithography VIII, Edición 2017, 2017, Página(s) 101430D
Editor: SPIE
DOI: 10.1117/12.2258025

State-of-the-art EUV materials and processes for the 7nm node and beyond

Autores: Elizabeth Buitrago, Marieke Meeuwissen, Oktay Yildirim, Rolf Custers, Rik Hoefnagels, Gijsbert Rispens, Michaela Vockenhuber, Iacopo Mochi, Roberto Fallica, Zuhal Tasdemir, Yasin Ekinci
Publicado en: Extreme Ultraviolet (EUV) Lithography VIII, Edición 2017, 2017, Página(s) 101430T
Editor: SPIE
DOI: 10.1117/12.2260153

EUV lithography industrialization progress

Autores: Roderik van Es, Mark van de Kerkhof, Leon Levasier, Rudy Peeters, Hans Jasper
Publicado en: International Conference on Extreme Ultraviolet Lithography 2017, Edición 2017, 2017, Página(s) 2, ISBN 9781-510613751
Editor: SPIE
DOI: 10.1117/12.2281184

EUV for HVM: towards an industrialized scanner for HVM NXE3400B performance update

Autores: Mark A. van de Kerkhof, Arthur W. E. Minnaert, Marco Pieters, Hans Meiling, Joost Smits, Rudy Peeters, Roderik van Es, Geert Fisser, Jos W. de Klerk, Roel Moors, Eric Verhoeven, Leon Levasier
Publicado en: Extreme Ultraviolet (EUV) Lithography IX, Edición 2018, 2018, Página(s) 13, ISBN 9781-510616592
Editor: SPIE
DOI: 10.1117/12.2299503

The future of EUV lithography: enabling Moore's Law in the next decade

Autores: Alberto Pirati, Jan van Schoot, Kars Troost, Rob van Ballegoij, Peter Krabbendam, Judon Stoeldraijer, Erik Loopstra, Jos Benschop, Jo Finders, Hans Meiling, Eelco van Setten, Niclas Mika, Jeannot Dredonx, Uwe Stamm, Bernhard Kneer, Bernd Thuering, Winfried Kaiser, Tilmann Heil, Sascha Migura
Publicado en: Extreme Ultraviolet (EUV) Lithography VIII, Edición 2017, 2017, Página(s) 101430G
Editor: SPIE
DOI: 10.1117/12.2261079

Edge placement error control and Mask3D effects in High-NA anamorphic EUV lithography

Autores: Eelco van Setten, Gerardo Bottiglieri, Laurens de Winter, Jan Lubkoll, John McNamara, Paul Rusu, Gijsbert Rispens, Jan van Schoot, Jens Timo Neumann, Matthias Roesch, Bernhard Kneer
Publicado en: International Conference on Extreme Ultraviolet Lithography 2017, Edición 2017, 2017, Página(s) 32, ISBN 9781-510613751
Editor: SPIE
DOI: 10.1117/12.2280624

High NA EUV lithography: Next step in EUV imaging

Autores: Eelco van Setten, Gerardo Bottiglieri, John McNamara, Jan van Schoot, Kars Troost, Joseph Zekry, Timon Fliervoet, Stephen Hsu, Joerg Zimmermann, Matthias Roesch, Bartosz Bilski, Paul Graeupner
Publicado en: Extreme Ultraviolet (EUV) Lithography X, Edición 2019, 2019, Página(s) 5, ISBN 9781-510625624
Editor: SPIE
DOI: 10.1117/12.2514952

The future of EUV lithography: continuing Moore's Law into the next decade

Autores: Jan van Schoot, Kars Troost, Frank Bornebroek, Rob van Ballegoij, Sjoerd Lok, Peter Krabbendam, Judon Stoeldraijer, Jos Benschop, Jo Finders, Hans Meiling, Eelco van Setten, Bernhard Kneer, Peter Kuerz, Winfried Kaiser, Tilmann Heil, Sascha Migura
Publicado en: Extreme Ultraviolet (EUV) Lithography IX, Edición 2018, 2018, Página(s) 23, ISBN 9781-510616592
Editor: SPIE
DOI: 10.1117/12.2295800

Diffuser concepts for in-situ wavefront measurements of EUV projection optics

Autores: Mark A. van de Kerkhof, Uwe Zeitner, Torsten Feigl, Stefan Bäumer, Robbert Jan Voogd, Ad Schasfoort, Evert Westerhuis, Wouter Engelen, Manfred Dikkers, Yassin Chowdhury, Michael D. Kriese
Publicado en: Extreme Ultraviolet (EUV) Lithography IX, Edición 2018, 2018, Página(s) 24, ISBN 9781-510616592
Editor: SPIE
DOI: 10.1117/12.2297433

High-NA EUV lithography enabling Moore’s law in the next decade

Autores: Jan van Schoot, Kars Troost, Frank Bornebroek, Rob van Ballegoij, Sjoerd Lok, Peter Krabbendam, Judon Stoeldraijer, Erik Loopstra, Jos P. Benschop, Jo Finders, Hans Meiling, Eelco van Setten, Bernhard Kneer, Winfried Kaiser, Tilmann Heil, Sascha Migura, Peter Kuerz, Jens Timo Neumann
Publicado en: International Conference on Extreme Ultraviolet Lithography 2017, Edición 2017, 2017, Página(s) 30, ISBN 9781-510613751
Editor: SPIE
DOI: 10.1117/12.2280592

High-NA EUV lithography exposure tool progress (Conference Presentation)

Autores: Jan van Schoot, Eelco van Setten, Kars Troost, Frank Bornebroek, Rob van Ballegoij, Sjoerd Lok, Judon Stoeldraijer, Jo Finders, Hans Meiling, Paul Graeupner, Peter Kuerz, Winfried Kaiser, Erik Loopstra, Bernhard Kneer, Sascha Migura
Publicado en: International Conference on Extreme Ultraviolet Lithography 2018, Edición 2018, 2018, Página(s) 33, ISBN 9781-510622142
Editor: SPIE
DOI: 10.1117/12.2502894

High-NA EUV lithography exposure tool progress

Autores: Jan van Schoot, Eelco van Setten, Kars Troost, Frank Bornebroek, Rob van Ballegoij, Sjoerd Lok, Judon Stoeldraijer, Jo Finders, Paul Graeupner, Joerg Zimmermann, Peter Kuerz, Marco Pieters, Winfried Kaiser
Publicado en: Extreme Ultraviolet (EUV) Lithography X, Edición 2019, 2019, Página(s) 3, ISBN 9781-510625624
Editor: SPIE
DOI: 10.1117/12.2515205

High-NA EUV lithography: The next step in EUV imaging (Conference Presentation)

Autores: Eelco van Setten, John McNamara, Jan van Schoot, Gerardo Bottiglieri, Kars Troost, Timon Fliervoet, Stephen Hsu, Jörg Zimmermann, Jens-Timo Neumann, Matthias Rösch, Paul Graeupner
Publicado en: International Conference on Extreme Ultraviolet Lithography 2018, Edición 2018, 2018, Página(s) 34, ISBN 9781-510622142
Editor: SPIE
DOI: 10.1117/12.2502149

Self-aligned block and fully self-aligned via for iN5 metal 2 self-aligned quadruple patterning

Autores: Aurelie Juncker, William Clark, Benjamin Vincent, Joern-Holger Franke, Sandip Halder, Frederic Lazzarino, Gayle Murdoch
Publicado en: Extreme Ultraviolet (EUV) Lithography IX, 2018, Página(s) 29, ISBN 9781-510616592
Editor: SPIE
DOI: 10.1117/12.2298761

Self-aligned fin cut last patterning scheme for fin arrays of 24nm pitch and beyond

Autores: Sylvain Baudot, Assawer Soussou, Alexey P. Milenin, Toby Hopf, Shouhua Wang, Pieter Wecks, Benjamin Vincent, Joseph Ervin, Steven Demuynck
Publicado en: Advances in Patterning Materials and Processes XXXVI, 2019, Página(s) 22, ISBN 9781-510625686
Editor: SPIE
DOI: 10.1117/12.2514927

Scatterometry and AFM measurement combination for area selective deposition process characterization

Autores: Mohamed Saib, Alain Moussa, Anne-Laure Charley, Philippe Leray, Joey Hung, Roy Koret, Igor Turovets, Avron Ger, Shaoren Deng, Andrea Illiberi, Jan Willem Maes, Gabriel Woodworth, Michael Strauss
Publicado en: Metrology, Inspection, and Process Control for Microlithography XXXIII, 2019, Página(s) 57, ISBN 9781-510625662
Editor: SPIE
DOI: 10.1117/12.2515177

SEM inspection and review method for addressing EUV stochastic defects

Autores: Tal Itzkovich; Aner Avakrat; Shimon Levi; Omri Baum; Noam Amit; Kevin Houchens
Publicado en: SPIE 10959, Metrology, Inspection, and Process Control for Microlithography XXXIII, 109591S (7 May 2019), Edición 2019, 2019
Editor: SPIE

Modeling of Tone Inversion Process Flow for N5 Interconnect to Characterize Block Tip to Tip

Autores: S. Guissi, W. F. Clark, A. Junker, J. Ervin, K. Greiner, D. Fried, B. Briggs, K. Devriendt, F. Sebaai, A. Charley, C. J. Wilson, J. Boemmels, Z. Tőkei
Publicado en: Proceedings of IEEE IITC INTERNATIONAL INTERCONNECT TECHNOLOGY CONFERENCE, 2017
Editor: IEEE

Special Section Guest Editorial: EUV Lithography for the 3-nm Node and Beyond

Autores: Vivek Bakshi, Hakaru Mizoguchi, Ted Liang, Andrew Grenville, Jos Benschop
Publicado en: Journal of Micro/Nanolithography, MEMS, and MOEMS, Edición 16/04, 2017, Página(s) 1, ISSN 1932-5150
Editor: S P I E - International Society for Optical Engineering
DOI: 10.1117/1.jmm.16.4.041001

EUV Lithography, Second Edition

Autores: Sascha Migura, Winfried Kaiser, Jens Timo Neumann, Hartmut Enkisch, and Dirk Hellweg
Publicado en: Chapter 5: Optics for EUVL, 2018, Página(s) 225-333, ISBN 9781-510616783
Editor: SPIE Publications
DOI: 10.1117/3.2305675

Derechos de propiedad intelectual

Lithographic apparatus

Número de solicitud/publicación: WO 2018219569 A1
Fecha: 2018-05-01

Verfahren zum Vermessen eines Beleuchtungssystems einer Projektionsbelichtungsanlage für die Mikrolithographie

Número de solicitud/publicación: DE 102018207384A1
Fecha: 2018-05-14
Solicitante(s): CARL ZEISS SMT GMBH

double-coolingwater all ULE clamp

Número de solicitud/publicación: WO 2017060259
Fecha: 2016-10-05

EUV LITHOGRAPHY SYSTEM

Número de solicitud/publicación: WO 2017025374
Fecha: 2016-08-01
Solicitante(s): CARL ZEISS SMT GMBH

Wafer cooling hood

Número de solicitud/publicación: WO 2018041599 A1
Fecha: 2017-08-11

Pupillenfacettenspiegel

Número de solicitud/publicación: DE DE102018214223A1
Fecha: 2018-08-23
Solicitante(s): CARL ZEISS SMT GMBH

H2 topcooling/ H2 bearing to prevent burlslip and reduce Overlay

Número de solicitud/publicación: WO 2016169758 A1
Fecha: 2016-04-04

Using Multiple Sources/Detectors for High-Throughput X-Ray Topography Measurement

Número de solicitud/publicación: US 9726624
Fecha: 2017-08-08
Solicitante(s): BRUKER TECHNOLOGIES LTD

Mirror array

Número de solicitud/publicación: WO 2018188859 A1
Fecha: 2018-03-08

COOLING APPARATUS AND PLASMA-CLEANING STATION FOR COOLING APPARATUS

Número de solicitud/publicación: WO 2019001922 A1
Fecha: 2018-06-07

Angle calibration for GIXRF

Número de solicitud/publicación: US 9551677
Fecha: 2017-01-24
Solicitante(s): BRUKER TECHNOLOGIES LTD

PCT/IL2016/051350

Número de solicitud/publicación: PCT IL2016/051350
Fecha: 2016-12-15
Solicitante(s): NOVA LTD

Verfahren und Vorrichtung zur Reinigung von Bauteilen für die EUV-Mikrolithographie

Número de solicitud/publicación: DE 102018212195A1
Fecha: 2018-07-23
Solicitante(s): CARL ZEISS SMT GMBH

Lithographic apparatus

Número de solicitud/publicación: WO 2018041599 A1
Fecha: 2017-08-11

Radiation analysis system

Número de solicitud/publicación: WO 2018091189 A2
Fecha: 2017-02-10

OPTISCHES SYSTEM UND LITHOGRAPHIEANLAGE

Número de solicitud/publicación: DE 102018211015A1
Fecha: 2018-07-04
Solicitante(s): CARL ZEISS SMT GMBH

Buscando datos de OpenAIRE...

Se ha producido un error en la búsqueda de datos de OpenAIRE

No hay resultados disponibles