European Commission logo
polski polski
CORDIS - Wyniki badań wspieranych przez UE
CORDIS

Sustainable Performance for High-Performance Embedded Computing Systems

Publikacje

On the reliability of hardware event monitors in MPSoCs for critical domains

Autorzy: Javier Barrera, Leonidas Kosmidis, Hamid Tabani, Enrico Mezzetti, Jaume Abella, Mikel Fernandez, Guillem Bernat, Francisco J. Cazorla
Opublikowane w: Proceedings of the 35th Annual ACM Symposium on Applied Computing, 2020, Strona(/y) 580-589, ISBN 9781450368667
Wydawca: ACM
DOI: 10.1145/3341105.3373955

On Neural Networks Redundancy and Diversity for Their Use in Safety-Critical Systems

Autorzy: Axel Brando, Isabel Serra, Enrico Mezzetti, Francisco J. Cazorla, Jon Perez-Cerrolaza, Jaume Abella
Opublikowane w: Computer, 2023, ISSN 0018-9162
Wydawca: Institute of Electrical and Electronics Engineers
DOI: 10.1109/mc.2023.3236523

Timing of Autonomous Driving Software: Problem Analysis and Prospects for Future Solutions

Autorzy: Miguel Alcon, Hamid Tabani, Leonidas Kosmidis, Enrico Mezzetti, Jaume Abella, Francisco J. Cazorla
Opublikowane w: 2020 IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS), 2020, Strona(/y) 267-280, ISBN 978-1-7281-5499-2
Wydawca: IEEE
DOI: 10.1109/rtas48715.2020.000-1

A Reliable Statistical Analysis of the Best-Fit Distribution for High Execution Times

Autorzy: Xavier Civit, Joan del Castillo, Jaume Abella
Opublikowane w: 2018 21st Euromicro Conference on Digital System Design (DSD), 2018, Strona(/y) 727-734, ISBN 978-1-5386-7377-5
Wydawca: IEEE
DOI: 10.1109/dsd.2018.00012

ePAPI: Performance Application ProgrammingInterface for Embedded Platforms

Autorzy: Jeremy Giesen, Enrico Mezzetti, Jaume Abella, Enrique Fernández, Francisco J. Cazorla
Opublikowane w: 19th International Workshop on Worst-Case Execution Time Analysis (WCET 2019), 2019, Strona(/y) 3:1--3:13, ISBN 978-3-95977-118-4
Wydawca: Schloss Dagstuhl - Leibniz-Zentrum für Informatik
DOI: 10.4230/oasics.wcet.2019.3

HWP: Hardware Support to Reconcile Cache Energy, Complexity, Performance and WCET Estimates in Multicore Real-Time Systems

Autorzy: Pedro Benedicte, Carles Hernandez, Jaume Abella, Francisco J. Cazorla
Opublikowane w: 29th International Conference on Probabilistic, Combinatorial and Asymptotic Methods for the Analysis of Algorithms, 2018, Strona(/y) 3:1-3:22, ISBN 978-3-95977-075-0
Wydawca: Schloss Dagstuhl--Leibniz-Zentrum fuer Informatik
DOI: 10.4230/lipics.ecrts.2018.3

Retrospective Uncertainties for Deep Models using Vine Copulas

Autorzy: Natasa Tagasovska, Firat Ozdemir, Axel Brando
Opublikowane w: Proceedings of The 26th International Conference on Artificial Intelligence and Statistics, 2023, Strona(/y) 7528--7539
Wydawca: PMLR

UP2DATE: Safe and secure over-the-air software updates on high-performance mixed-criticality systems

Autorzy: Irune Agirre, Peio Onaindia, Tomasso Poggi, Irune Yarza, Francisco J. Cazorla, Leonidas Kosmidis, Kim Gruttner, Mohammed Abuteir, Jan Loewe, Juan M. Orbegozo, Stefania Botta
Opublikowane w: 2020 23rd Euromicro Conference on Digital System Design (DSD), 2020, Strona(/y) 344-351, ISBN 978-1-7281-9535-3
Wydawca: IEEE
DOI: 10.1109/dsd51259.2020.00063

Industrial experiences with resource management under software randomization in ARINC653 avionics environments

Autorzy: Leonidas Kosmidis, Cristian Maxim, Victor Jegu, Francis Vatrinet, Francisco J. Cazorla
Opublikowane w: Proceedings of the International Conference on Computer-Aided Design, 2018, Strona(/y) 1-7, ISBN 9781450359504
Wydawca: ACM
DOI: 10.1145/3240765.3240818

Tracking Coherence-Related Contention Delays in Real-Time Multicore Systems

Autorzy: Roger Pujol, Mohamed Hassan, Hamid Tabani, Jaume Abella, Francisco J. Cazorla
Opublikowane w: Proceedings of the 38th ACM/SIGAPP Symposium on Applied Computing, 2023, Strona(/y) 461-470, ISBN 9781450395175
Wydawca: Association for Computing Machinery (ACM)
DOI: 10.1145/3555776.3577631

An Academic RISC-V Silicon Implementation Based on Open-Source Components

Autorzy: Jaume Abella, Calvin Bulla, Guillem Cabo, Francisco J. Cazorla, Adrian Cristal, Max Doblas, Roger Figueras, Alberto Gonzalez, Carles Hernandez, Cesar Hernandez, Victor Jimenez, Leonidas Kosmidis, Vatistas Kostalabros, Ruben Langarita, Neiel Leyva, Guillem Lopez-Paradis, Joan Marimon, Ricardo Martinez, Jonnatan Mendoza, Francesc Moll, Miquel Moreto, Julian Pavon, Cristobal Ramirez, Marco A. Ramirez
Opublikowane w: 2020 XXXV Conference on Design of Circuits and Integrated Systems (DCIS), 2020, Strona(/y) 1-6, ISBN 978-1-7281-9132-4
Wydawca: IEEE
DOI: 10.1109/dcis51330.2020.9268664

Tracing Hardware Monitors in the GR712RC Multicore Platform: Challenges and Lessons Learnt from a Space Case Study.

Autorzy: Xavier Palomo, Sylvain Girbal, Jaume Abella, Laurent Rioux, Mikel Fernández, Enrico Mezzetti, Francisco J. Cazorla
Opublikowane w: 32nd Euromicro Conference on Real-Time Systems (ECRTS 2020), 2020, Strona(/y) 15:1--15:25, ISBN 978-3-95977-152-8
Wydawca: Schloss Dagstuhl – Leibniz-Zentrum für Informatik
DOI: 10.4230/lipics.ecrts.2020.15

Modeling Contention Interference in Crossbar-based Systems via Sequence-Aware Pairing (SeAP)

Autorzy: Jeremy Giesen, Pedro Benedicte, Enrico Mezzetti, Jaume Abella, Francisco J. Cazorla
Opublikowane w: 2020 IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS), 2020, Strona(/y) 253-266, ISBN 978-1-7281-5499-2
Wydawca: IEEE
DOI: 10.1109/rtas48715.2020.000-2

Standardizing the Probabilistic Sources of Uncertainty for the sake of Safety Deep Learning

Autorzy: Axel Brando, Isabel Serra, Enrico Mezzetti, Francisco J. Cazorla, Jaume Abella
Opublikowane w: Proceedings of the Workshop on Artificial Intelligence Safety 2023 (SafeAI 2023) co-located with the Thirty-Seventh AAAI Conference on Artificial Intelligence (AAAI 2023), 2023, ISSN 1613-0073
Wydawca: CEUR-WS

PRL: Standardizing Performance Monitoring Library for High-Integrity Real-Time Systems

Autorzy: Jeremy Giesen, Enrico Mezzetti, Jaume Abella, Francizco J. Cazorla
Opublikowane w: 2021 IEEE 39th International Conference on Computer Design (ICCD), 2021, Strona(/y) 344-348
Wydawca: IEEE
DOI: 10.1109/iccd53106.2021.00061

Quasi Isolation QoS Setups to Control MPSoC Contention in Integrated Software Architectures

Autorzy: Sergio Garcia, Alejandro Serrano, Jaume Abella, Enrico Mezzetti, Francisco J. Cazorla
Opublikowane w: Leibniz International Proceedings in Informatics (LIPIcs), 2023
Wydawca: Schloss Dagstuhl -- Leibniz-Zentrum für Informatik
DOI: 10.4230/lipics.ecrts.2023.5

GPU4S: Embedded GPUs in Space

Autorzy: Leonidas Kosmidis, Jerome Lachaize, Jaume Abella, Olivier Notebaert, Francisco J. Cazorla, David Steenari
Opublikowane w: 2019 22nd Euromicro Conference on Digital System Design (DSD), 2019, Strona(/y) 399-405, ISBN 978-1-7281-2862-7
Wydawca: IEEE
DOI: 10.1109/dsd.2019.00064

Leveraging hardware QoS to control contention in the Xilinx Zynq UltraScale+ MPSoC

Autorzy: Alejandro Serrano-Cases, Juan M. Reina, Jaume Abella, Enrico Mezzetti, Francisco J. Cazorla
Opublikowane w: 33rd Euromicro Conference on Real-Time Systems (ECRTS 2021), 2021, ISBN 978-3-95977-192-4
Wydawca: Schloss Dagstuhl - Leibniz-Zentrum für Informatik
DOI: 10.4230/lipics.ecrts.2021.3

Maximum-Contention Control Unit (MCCU): Resource Access Count and Contention Time Enforcement

Autorzy: Jordi Cardona, Carles Hernandez, Jaume Abella, Francisco J. Cazorla
Opublikowane w: 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2019, Strona(/y) 710-715, ISBN 978-3-9819263-2-3
Wydawca: IEEE
DOI: 10.23919/date.2019.8715155

Using Quantile Regression in Neural Networks for Contention Prediction in Multicore Processors

Autorzy: Axel Brando, Isabel Serra, Enrico Mezzetti, Jaume Abella, Francisco J. Cazorla
Opublikowane w: Leibniz International Proceedings in Informatics (LIPIcs) of the 34th Euromicro Conference on Real-Time Systems (ECRTS 2022), 2022, Strona(/y) 4:1 -- 4:25
Wydawca: Schloss Dagstuhl
DOI: 10.4230/lipics.ecrts.2022.4

IntPred - flexible, fast, and accurate object detection for autonomous driving systems

Autorzy: Hamid Tabani, Matteo Fusi, Leonidas Kosmidis, Jaume Abella, Francisco J. Cazorla
Opublikowane w: Proceedings of the 35th Annual ACM Symposium on Applied Computing, 2020, Strona(/y) 564-571, ISBN 9781450368667
Wydawca: ACM
DOI: 10.1145/3341105.3373918

ASCOM: Affordable Sequence-aware COntention Modeling in crossbar-based MPSoCs

Autorzy: Jeremy J. Giesen, Enrico Mezzetti, Jaume Abella, Francisco J. Cazorla
Opublikowane w: Proceedings of the 38th ACM/SIGAPP Symposium on Applied Computing, 2023, Strona(/y) 471-474, ISBN 978-1-4503-9517-5
Wydawca: Association for Computing Machinery (ACM)
DOI: 10.1145/3555776.3577793

Towards limiting the impact of timing anomalies in complex real-time processors

Autorzy: Pedro Benedicte, Jaume Abella, Carles Hernandez, Enrico Mezzetti, Francisco J. Cazorla
Opublikowane w: Proceedings of the 24th Asia and South Pacific Design Automation Conference on - ASPDAC '19, 2019, Strona(/y) 27-32, ISBN 9781-450360074
Wydawca: ACM Press
DOI: 10.1145/3287624.3287655

Accurate ILP-Based Contention Modeling on Statically Scheduled Multicore Systems

Autorzy: Xavier Palomo, Enrico Mezzetti, Jaume Abella, Reinder J. Bril, Francisco J. Cazorla
Opublikowane w: 2019 IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS), 2019, Strona(/y) 15-28, ISBN 978-1-7281-0678-6
Wydawca: IEEE
DOI: 10.1109/RTAS.2019.00010

LAEC: Look-Ahead Error Correction Codes in Embedded Processors L1 Data Cache

Autorzy: Pedro Benedicte, Carles Hernandez, Jaume Abella, Francisco J. Cazorla
Opublikowane w: 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2019, Strona(/y) 818-823, ISBN 978-3-9819263-2-3
Wydawca: IEEE
DOI: 10.23919/DATE.2019.8714877

Performance Analysis and Optimization of Automotive GPUs

Autorzy: Fabio Mazzocchetti, Pedro Benedicte, Hamid Tabani, Leonidas Kosmidis, Jaume Abella, Francisco J. Cazorla
Opublikowane w: 2019 31st International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD), 2019, Strona(/y) 96-103, ISBN 978-1-7281-4194-7
Wydawca: IEEE
DOI: 10.1109/SBAC-PAD.2019.00027

On assessing the viability of probabilistic scheduling with dependent tasks

Autorzy: Jaume Abella, Enrico Mezzetti, Francisco J. Cazorla
Opublikowane w: Proceedings of the 34th ACM/SIGAPP Symposium on Applied Computing - SAC '19, 2019, Strona(/y) 625-634, ISBN 9781-450359337
Wydawca: ACM Press
DOI: 10.1145/3297280.3297339

Assessing the Adherence of an Industrial Autonomous Driving Framework to ISO 26262 Software Guidelines

Autorzy: Hamid Tabani, Leonidas Kosmidis, Jaume Abella, Francisco J. Cazorla, Guillem Bernat
Opublikowane w: Proceedings of the 56th Annual Design Automation Conference 2019 on - DAC '19, 2019, Strona(/y) 1-6, ISBN 9781-450367257
Wydawca: ACM Press
DOI: 10.1145/3316781.3317779

NoCo: ILP-Based Worst-Case Contention Estimation for Mesh Real-Time Manycores

Autorzy: Jordi Cardona, Carles Hernandez, Enrico Mezzetti, Jaume Abella, Francisco J. Cazorla
Opublikowane w: 2018 IEEE Real-Time Systems Symposium (RTSS), 2018, Strona(/y) 265-276, ISBN 978-1-5386-7908-1
Wydawca: IEEE
DOI: 10.1109/RTSS.2018.00043

An On-board Algorithm Implementation on an Embedded GPU: A Space Case Study

Autorzy: Ivan Rodriguez, Leonidas Kosmidis, Olivier Notebaert, Francisco J. Cazorla, David Steenari
Opublikowane w: 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2020, Strona(/y) 1718-1719, ISBN 978-3-9819263-4-7
Wydawca: IEEE
DOI: 10.23919/date48585.2020.9116538

A Cross-Layer Review of Deep Learning Frameworks to Ease Their Optimization and Reuse

Autorzy: Hamid Tabani, Roger Pujol, Jaume Abella, Francisco J. Cazorla
Opublikowane w: 2020 IEEE 23rd International Symposium on Real-Time Distributed Computing (ISORC), 2020, Strona(/y) 144-145, ISBN 978-1-7281-6958-3
Wydawca: IEEE
DOI: 10.1109/isorc49007.2020.00030

Software Timing Analysis for Complex Hardware with Survivability and Risk Analysis

Autorzy: Sergi Vilardell, Isabel Serra, Jaume Abella, Joan Del Castillo, Francisco J. Cazorla
Opublikowane w: 2019 IEEE 37th International Conference on Computer Design (ICCD), 2019, Strona(/y) 227-236, ISBN 978-1-5386-6648-7
Wydawca: IEEE
DOI: 10.1109/iccd46524.2019.00036

CleanET - enabling timing validation for complex automotive systems

Autorzy: Sergi Vilardell, Isabel Serra, Hamid Tabani, Jaume Abella, Joan Del Castillo, Francisco J. Cazorla
Opublikowane w: Proceedings of the 35th Annual ACM Symposium on Applied Computing, 2020, Strona(/y) 554-563, ISBN 9781450368667
Wydawca: ACM
DOI: 10.1145/3341105.3373871

On the Definition of Resource Sharing Levels to Understand and Control the Impact of Contention in Multicore Processors

Autorzy: Hamid Tabani, Leonidas Kosmidis, Enrico Mezzetti, Jaume Abella, Francisco J. Cazorla
Opublikowane w: SAE Technical Paper Series, 2021
Wydawca: SAE International
DOI: 10.4271/2021-01-5055

Contention tracking in GPU last-level cache

Autorzy: Javier Barrera, Leonidas Kosmidis, Hamid Tabani, Jaume Abella, Francisco J. Cazorla
Opublikowane w: 2022 IEEE 40th International Conference on Computer Design (ICCD), 2022, Strona(/y) 76-79
Wydawca: IEEE
DOI: 10.1109/iccd56317.2022.00021

An Approach for Detecting Power Peaks During Testing and Breaking Systematic Pathological Behavior

Autorzy: David Trilla, Carles Hernandez, Jaume Abella, Francisco J. Cazorla
Opublikowane w: 2019 22nd Euromicro Conference on Digital System Design (DSD), 2019, Strona(/y) 538-545, ISBN 978-1-7281-2862-7
Wydawca: IEEE
DOI: 10.1109/dsd.2019.00083

Generating and Exploiting Deep Learning Variants to Increase Heterogeneous Resource Utilization in the NVIDIA Xavier

Autorzy: Roger Pujol, Hamid Tabani, Leonidas Kosmidis, Enrico Mezzetti, Jaume Abella, Francisco J. Cazorla
Opublikowane w: 31st Euromicro Conference on Real-Time Systems (ECRTS 2019), 2019, Strona(/y) 23:1--23:23, ISBN 978-3-95977-110-8
Wydawca: Schloss Dagstuhl - Leibniz-Zentrum für Informatik
DOI: 10.4230/lipics.ecrts.2019.23

En-Route - on enabling resource usage testing for autonomous driving frameworks

Autorzy: Miguel Alcon, Hamid Tabani, Jaume Abella, Leonidas Kosmidis, Francisco J. Cazorla
Opublikowane w: Proceedings of the 35th Annual ACM Symposium on Applied Computing, 2020, Strona(/y) 1953-1962, ISBN 9781450368667
Wydawca: ACM
DOI: 10.1145/3341105.3373938

MUCH - exploiting pairwise hardware event monitor correlations for improved timing analysis of complex MPSoCs

Autorzy: Sergi Vilardell, Isabel Serra, Enrico Mezzetti, Jaume Abella, Francisco J. Cazorla
Opublikowane w: Proceedings of the 36th Annual ACM Symposium on Applied Computing, 2021, Strona(/y) 511-520, ISBN 9781450381048
Wydawca: ACM
DOI: 10.1145/3412841.3441931

Modeling the Impact of Process Variations in Worst-Case Energy Consumption Estimation

Autorzy: David Trilla, Carles Hernandez, Jaume Abella, Francisco J. Cazorla
Opublikowane w: 2019 22nd Euromicro Conference on Digital System Design (DSD), 2019, Strona(/y) 601-605, ISBN 978-1-7281-2862-7
Wydawca: IEEE
DOI: 10.1109/dsd.2019.00092

Demystifying the Characteristics of High Bandwidth Memory for Real-Time Systems

Autorzy: Kazi Asifuzzaman, Mohamed Abuelala, Mohamed Hassan, Francisco J. Cazorla
Opublikowane w: Proceedings of the 40th International Conference On Computer Aided Design (ICCAD 2021), 2021, Strona(/y) 1-9, ISBN 978-1-6654-4507-8
Wydawca: Institute of Electrical and Electronics Engineers
DOI: 10.1109/iccad51958.2021.9643473

Using Markov’s inequality with power-of-k function for probabilistic WCET estimation

Autorzy: Sergi Vilardell, Isabel Serra, Enrico Mezzetti, Jaume Abella, Francisco J. Cazorla, Joan del Castillo
Opublikowane w: Leibniz International Proceedings in Informatics (LIPIcs) of the 34th Euromicro Conference on Real-Time Systems (ECRTS 2022), 2022, Strona(/y) 20:1--20:24, ISBN 978-3-95977-239-6
Wydawca: Schloss Dagstuhl
DOI: 10.4230/lipics.ecrts.2022.20

Certification Aspects in Future AI-Based High-Integrity Systems

Autorzy: Jaume Abella, Francisco J. Cazorla
Opublikowane w: New Bridges between Mathematics and Data Science, 2021, Strona(/y) 39
Wydawca: Minisymposia

Understanding and Exploiting the Internals of GPU Resource Allocation for Critical Systems

Autorzy: Alejandro J. Calderon, Leonidas Kosmidis, Carlos F. Nicolas, Francisco J. Cazorla, Peio Onaindia
Opublikowane w: 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2019, Strona(/y) 1-8, ISBN 978-1-7281-2350-9
Wydawca: IEEE
DOI: 10.1109/iccad45719.2019.8942170

Empirical Evidence for MPSoCs in Critical Systems: The Case of NXP's T2080 Cache Coherence

Autorzy: Roger Pujol, Hamid Tabani, Jaume Abella, Mohamed Hassan, Francisco J. Cazorla
Opublikowane w: 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2021, Strona(/y) 1162-1165, ISBN 978-3-9819263-5-4
Wydawca: IEEE
DOI: 10.23919/date51398.2021.9474078

GPU4S: Embedded GPUs in space - Latest project updates

Autorzy: Leonidas Kosmidis, Iván Rodriguez, Álvaro Jover, Sergi Alcaide, Jérôme Lachaize, Jaume Abella, Olivier Notebaert, Francisco J. Cazorla, David Steenari
Opublikowane w: Microprocessors and Microsystems, Numer 77, 2020, Strona(/y) 103143, ISSN 0141-9331
Wydawca: Elsevier BV
DOI: 10.1016/j.micpro.2020.103143

Main sources of variability and non-determinism in AD software: taxonomy and prospects to handle them

Autorzy: Miguel Alcon, Axel Brando, Enrico Mezzetti, Jaume Abella, Francisco J. Cazorla
Opublikowane w: Real-Time Systems, Numer Volume 59, 2023, Strona(/y) 538-478, ISSN 1573-1383
Wydawca: Springer Nature
DOI: 10.1007/s11241-023-09405-1

ADBench: benchmarking autonomous driving systems

Autorzy: Hamid Tabani, Roger Pujol , Miguel Alcón, Joan Moya, Jaume Abella, Francisco J. Cazorla
Opublikowane w: In Springer Computing Journal. 2021, 2021, Strona(/y) 481-502, ISSN 0010-485X
Wydawca: Springer Verlag
DOI: 10.1007/s00607-021-00975-1

HRM: Merging Hardware Event Monitors for Improved Timing Analysis of Complex MPSoCs

Autorzy: Sergi Vilardell, Isabel Serra, Roberto Santalla, Enrico Mezzetti, Jaume Abella, Francisco J. Cazorla
Opublikowane w: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Numer 39/11, 2020, Strona(/y) 3662-3673, ISSN 0278-0070
Wydawca: Institute of Electrical and Electronics Engineers
DOI: 10.1109/tcad.2020.3013051

GPU Devices for Safety-Critical Systems: A Survey

Autorzy: Jon Perez-Cerrolaza, Jaume Abella, Leonidas Kosmidis, Alejandro J. Calderon, Francisco Cazorla, Jose Luis Flores
Opublikowane w: ACM Computing Surveys, 2023, ISSN 0360-0300
Wydawca: Association for Computing Machinary, Inc.
DOI: 10.1145/3549526

GMAI

Autorzy: Alejandro J. Calderón, Leonidas Kosmidis, Carlos F. Nicolás, Francisco J. Cazorla, Peio Onaindia
Opublikowane w: ACM Transactions on Embedded Computing Systems, Numer 19/5, 2020, Strona(/y) 1-23, ISSN 1539-9087
Wydawca: Association for Computing Machinary, Inc.
DOI: 10.1145/3391896

Dynamic and execution views to improve validation, testing, and optimization of autonomous driving software

Autorzy: Miguel Alcon, Hamid Tabani, Jaume Abella, Francisco J. Cazorla
Opublikowane w: Software Quality Journal, Numer Volume 31, 2023, Strona(/y) 405-439, ISSN 1573-1367
Wydawca: Springer Nature
DOI: 10.1007/s11219-022-09609-x

Probabilistic Worst-Case Timing Analysis

Autorzy: Francisco J. Cazorla, Leonidas Kosmidis, Enrico Mezzetti, Carles Hernandez, Jaume Abella, Tullio Vardanega
Opublikowane w: ACM Computing Surveys, Numer 52/1, 2019, Strona(/y) 1-35, ISSN 0360-0300
Wydawca: Association for Computing Machinary, Inc.
DOI: 10.1145/3301283

Dissecting Robust Resource Partitioning, Robust Time Partitioning, and Robust Partitioning in CAST-32A

Autorzy: Francisco J. Cazorla, Jaume Abella, Enrico Mezzetti
Opublikowane w: SAE Technical Paper, 2021, ISSN 0148-7191
Wydawca: SAE International
DOI: 10.4271/2021-01-5101

Performance Analysis and Optimization Opportunities for NVIDIA Automotive GPUs

Autorzy: Hamid Tabani, Fabio Mazzocchetti, Pedro Benedicte, Jaume Abella, Francisco J. Cazorla
Opublikowane w: Journal of Parallel and Distributed Computing, Numer 152, 2021, Strona(/y) 21-32, ISSN 0743-7315
Wydawca: Academic Press
DOI: 10.1016/j.jpdc.2021.02.008

Accurately Measuring Contention in Mesh NoCs in Time-Sensitive Embedded Systems

Autorzy: Jordi Cardona, Carles Hernández, Jaume Abella, Enrico Mezzetti, Francisco J. Cazorla
Opublikowane w: ACM Transactions on Design Automation of Electronic Systems, Numer Volume 28, Numer 3, 2023, ISSN 1084-4309
Wydawca: Association for Computing Machinary, Inc.
DOI: 10.1145/3582006

Safety-Related Challenges and Opportunities for GPUs in the Automotive Domain

Autorzy: Sergi Alcaide, Leonidas Kosmidis, Hamid Tabani, Carles Hernandez, Jaume Abella, Francisco J. Cazorla
Opublikowane w: IEEE Micro, Numer 38/6, 2018, Strona(/y) 46-55, ISSN 0272-1732
Wydawca: Institute of Electrical and Electronics Engineers
DOI: 10.1109/MM.2018.2873870

EOmesh: Combined Flow Balancing and Deterministic Routing for Reduced WCET Estimates in Embedded Real-Time Systems

Autorzy: Jordi Cardona, Carles Hernandez, Jaume Abella, Francisco J. Cazorla
Opublikowane w: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Numer 37/11, 2018, Strona(/y) 2451-2461, ISSN 0278-0070
Wydawca: Institute of Electrical and Electronics Engineers
DOI: 10.1109/TCAD.2018.2857298

Locality-aware cache random replacement policies

Autorzy: Pedro Benedicte, Carles Hernandez, Jaume Abella, Francisco J. Cazorla
Opublikowane w: Journal of Systems Architecture, Numer 93, 2019, Strona(/y) 48-61, ISSN 1383-7621
Wydawca: Elsevier BV
DOI: 10.1016/j.sysarc.2018.12.007

Vector extensions in COTS processors to increase guaranteed performance in real-time systems

Autorzy: Roger Pujol, Josep Jorba, Hamid Tabani, Leonidas Kosmidis, Enrico Mezzetti, Jaume Abella, Francisco J. Cazorla
Opublikowane w: ACM Transactions on Embedded Computing Systems, 2022, Strona(/y) 1-25, ISSN 1539-9087
Wydawca: Association for Computing Machinary, Inc.
DOI: 10.1145/3561054

Wyszukiwanie danych OpenAIRE...

Podczas wyszukiwania danych OpenAIRE wystąpił błąd

Brak wyników