Skip to main content
European Commission logo print header

Ultrapure Water Technology - nanoparticle free water for the advanced nanoelectronics industry enabling further miniaturization of electronic devices

Periodic Reporting for period 2 - ULTRAWAT (Ultrapure Water Technology - nanoparticle free water for the advanced nanoelectronics industry enabling further miniaturization of electronic devices)

Okres sprawozdawczy: 2019-07-01 do 2021-01-31

The ULTRAWAT project commercializes a new advanced technology system, proven to remove sub-20 nanoparticles from ultrapure nanoelectronic process water and from industrial wastewater. Type 1 Ultrapure Water (UPW) is water treated to the highest levels of purity. It is used in the nanoelectronics industry for surface treatment of microchips. Sub-20 nm particles are called ”killer particles”. They are difficult to remove and can cause damage to advanced devices by causing short circuits. Removing killer particles from process water will enable further miniaturization of electronic devices.

The global consumption of UPW in the nanoelectronics industry is estimated at 2000 billion liters per year. State-of-the-art UPW systems cannot remove sub-20 nanoparticles efficiently and reuse both the water and valuable minerals and chemicals. Recognizing a significant business opportunity, XZERO has developed a new Key Enabling Technology for the complete removal of “killer particles”. The technology enables Zero Liquid Discharge. ZLD saves large quantities of water, enables the extraction of valuable materials and stops dangerous contaminants from polluting the environment.

The targeted users are water system integrators (WSI) and nanoelectronics manufacturers. WSIs will get access to innovative technology that will boost the added value of their systems. Nanoelectronics manufacturers will remove the threat to profitable manufacture from nanoparticles and minimize their environmental footprint. XZERO’s crucial strategy is to capitalize on this strong industrial need. We acquired huge commercial interest.

The project is aimed at turning tested prototypes [TRL 6] into fully functional commercial systems [TRL 9] that can be easily deployed and integrated with production equipment in the nanoelectronics industry.
We used a lab unit to purify grab samples of different kinds of wastewater from imec and published extremely favorable results in removal of TMAH (tetramethylammonium). These results have been published in scientific journals and the latest test - Chemical Mechanical Planarization (CMP) Wastewater Treatment using Membrane Distillation - were presented at UltraPureMicro2019 in Phoenix Arizona, where it caught the attention of many prominent representatives in all target categories in the industry.

In addition to the UPW-polishing vertical, Xero adopted two new verticals – IPA polishing and Resource recovery (i.e. treating the wastewater into Ultra Pure Water (UPW) and sold, among them valuable metals. Both these new verticals have initially been suggested by imec and now been approved by the customers.

The general function of Xzero technology has been thoroughly tested in a large number of prototypes and one of our demos has been running trouble-free for six-year with minimal maintenance. The vertical 1 has been tested in the lab at KTH and Vertical 3 in the lab at imec.
ULTRAWAT addresses also environmental aspects of UPW production and reuse (closed-loop) saving around 90% of process water. This is a lot taking into account that the global consumption of UPW in nanoelectronics industry is estimated at 2.000 billion liters per year). It also allows for the recovery of all precious metals and chemicals (Zero Liquid Discharge). XZERO’s strategy is to capitalize on those strong industrial needs leading to decreasing of total production costs and increasing production yields. By improving yield rates, Xzero technology is extremely valuable. The pay-back time for investment may in some instances achieve two months and in any case, is less than one year.

The market introduction of ULTRAWAT will impact all sectors, pushing all digital technologies fast forward. We cooperate with top industry players like water system integrators and nanoelectronics manufacturers with laser-like focus on their specific needs. We strengthened our team of scientists with top production experts, managers, investors and market developers.

With ULTRAWAT we want to boost the development of the nanoelectronics sector in Europe that is striving to reclaim its position among world leaders. With our technology we enable manufacturing of microprocessors at 10,7 and 5 nodes, what makes all the difference not only for the nanoelectronics industry but - as a consequence - for all IT development towards faster, cheaper and more powerful digital devices.
Systems for the three verticals